aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-04 07:34:52 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-04 07:34:52 +0200
commitf6430e5443f35716f796d325df8dc79565310b16 (patch)
tree00b195facb24a9f66bf10e70d6a5796e725bf484
parent878146e13217b33c662cf5637bf10102ab2725b3 (diff)
downloadghdl-f6430e5443f35716f796d325df8dc79565310b16.tar.gz
ghdl-f6430e5443f35716f796d325df8dc79565310b16.tar.bz2
ghdl-f6430e5443f35716f796d325df8dc79565310b16.zip
testsuite: add case for previous commit.
-rw-r--r--testsuite/gna/bug0106/repro.vhdl9
-rwxr-xr-xtestsuite/gna/bug0106/testsuite.sh9
2 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/bug0106/repro.vhdl b/testsuite/gna/bug0106/repro.vhdl
new file mode 100644
index 000000000..49dfaadfb
--- /dev/null
+++ b/testsuite/gna/bug0106/repro.vhdl
@@ -0,0 +1,9 @@
+entity repro is
+end repro;
+
+architecture behav of repro is
+ signal v : natural;
+ constant c : integer := 5;
+begin
+ v <= natural (c)'a;
+end behav;
diff --git a/testsuite/gna/bug0106/testsuite.sh b/testsuite/gna/bug0106/testsuite.sh
new file mode 100755
index 000000000..5defdcf1e
--- /dev/null
+++ b/testsuite/gna/bug0106/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure repro.vhdl
+
+clean
+
+echo "Test successful"