aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-19 20:36:47 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-19 20:36:47 +0200
commitf4ec019f5f2e826f715a3bdf2285216008e833e7 (patch)
treedbdc73447ad1517929dd37ffbc0a5ad1bd9e5834
parent90133dc36dfe0eeff360ca3ba20275ebe1381ffb (diff)
downloadghdl-f4ec019f5f2e826f715a3bdf2285216008e833e7.tar.gz
ghdl-f4ec019f5f2e826f715a3bdf2285216008e833e7.tar.bz2
ghdl-f4ec019f5f2e826f715a3bdf2285216008e833e7.zip
Testcase for ticket 73.
-rw-r--r--testsuite/gna/ticket73/bug.vhdl17
-rwxr-xr-xtestsuite/gna/ticket73/testsuite.sh9
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/ticket73/bug.vhdl b/testsuite/gna/ticket73/bug.vhdl
new file mode 100644
index 000000000..89f53db3d
--- /dev/null
+++ b/testsuite/gna/ticket73/bug.vhdl
@@ -0,0 +1,17 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+ procedure proc(s : string) is
+ begin
+ report integer'image(s'left);
+ report integer'image(s'right);
+ report s;
+ end procedure;
+begin
+ main : process
+ begin
+ proc(s(4 to 15) => "Hello world!");
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket73/testsuite.sh b/testsuite/gna/ticket73/testsuite.sh
new file mode 100755
index 000000000..0440719e6
--- /dev/null
+++ b/testsuite/gna/ticket73/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze bug.vhdl
+elab_simulate ent
+clean
+
+echo "Test successful"