aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-07-20 19:42:16 +0200
committerTristan Gingold <tgingold@free.fr>2022-07-20 19:42:16 +0200
commite5cf297021c08f3528e32784a755f168e71cf8af (patch)
treef7e5fcff25b08a302db6e69419eefed4f322a654
parent4b84d1fe0fd25ebf148218fa18971bdfd591f0c7 (diff)
downloadghdl-e5cf297021c08f3528e32784a755f168e71cf8af.tar.gz
ghdl-e5cf297021c08f3528e32784a755f168e71cf8af.tar.bz2
ghdl-e5cf297021c08f3528e32784a755f168e71cf8af.zip
ghdlsimul: simplify elaboration circuitery
-rw-r--r--src/ghdldrv/ghdlsimul.adb13
1 files changed, 0 insertions, 13 deletions
diff --git a/src/ghdldrv/ghdlsimul.adb b/src/ghdldrv/ghdlsimul.adb
index 468c2253c..ae8a6d4b4 100644
--- a/src/ghdldrv/ghdlsimul.adb
+++ b/src/ghdldrv/ghdlsimul.adb
@@ -136,18 +136,6 @@ package body Ghdlsimul is
end loop;
end Set_Run_Options;
- procedure Ghdl_Elaborate;
- pragma Export (C, Ghdl_Elaborate, "__ghdl_ELABORATE");
-
- type Elaborate_Acc is access procedure;
- pragma Convention (C, Elaborate_Acc);
- Elaborate_Proc : Elaborate_Acc := null;
-
- procedure Ghdl_Elaborate is
- begin
- Elaborate_Proc.all;
- end Ghdl_Elaborate;
-
procedure Run
is
use Ada.Command_Line;
@@ -172,7 +160,6 @@ package body Ghdlsimul is
Synth.Flags.Severity_Level := Grt.Options.Severity_Level;
- Elaborate_Proc := Simul.Vhdl_Simul.Runtime_Elaborate'Access;
Simul.Vhdl_Simul.Simulation;
-- Simul uses report_msg.