diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-04-06 18:41:58 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-04-06 20:10:59 +0200 |
commit | dd2ab958e24a7a1593e1bcefdc0c5365a47bb014 (patch) | |
tree | 687fe673dbcfdf2b0c4f754b2b7fc30420fca1ed | |
parent | dde006868a8864a9152ad396c519ddc4cbe9cfd8 (diff) | |
download | ghdl-dd2ab958e24a7a1593e1bcefdc0c5365a47bb014.tar.gz ghdl-dd2ab958e24a7a1593e1bcefdc0c5365a47bb014.tar.bz2 ghdl-dd2ab958e24a7a1593e1bcefdc0c5365a47bb014.zip |
testsuite/gna: add a test for #1196
-rw-r--r-- | testsuite/gna/issue1196/my_pkg.vhdl | 12 | ||||
-rwxr-xr-x | testsuite/gna/issue1196/testsuite.sh | 12 |
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue1196/my_pkg.vhdl b/testsuite/gna/issue1196/my_pkg.vhdl new file mode 100644 index 000000000..864c485c4 --- /dev/null +++ b/testsuite/gna/issue1196/my_pkg.vhdl @@ -0,0 +1,12 @@ +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +package my_pkg is + + type t_frame_x record is + a : std_logic_vector(1 downto 0); + b : std_logic_vector(17 downto 0); + end record t_frame_x; + +end package my_pkg; diff --git a/testsuite/gna/issue1196/testsuite.sh b/testsuite/gna/issue1196/testsuite.sh new file mode 100755 index 000000000..15e323c29 --- /dev/null +++ b/testsuite/gna/issue1196/testsuite.sh @@ -0,0 +1,12 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure my_pkg.vhdl + +if $GHDL -s my_pkg.vhdl ; then + echo "failure expected" + exit 1; +fi + +echo "Test successful" |