aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-07-28 22:22:24 +0200
committerTristan Gingold <tgingold@free.fr>2021-07-28 22:22:24 +0200
commitd2952090b1f4fde84fbb954cbe43a9a5f22dd35f (patch)
tree85478b7098abd60b2736759e24c30e87d66b2809
parentd86f8929f7d49f02c304d3e95d29eae760657f9a (diff)
downloadghdl-d2952090b1f4fde84fbb954cbe43a9a5f22dd35f.tar.gz
ghdl-d2952090b1f4fde84fbb954cbe43a9a5f22dd35f.tar.bz2
ghdl-d2952090b1f4fde84fbb954cbe43a9a5f22dd35f.zip
testsuite/gna: add a test for previous commit
-rw-r--r--testsuite/gna/bug0123/repro.vhdl10
-rwxr-xr-xtestsuite/gna/bug0123/testsuite.sh16
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/bug0123/repro.vhdl b/testsuite/gna/bug0123/repro.vhdl
new file mode 100644
index 000000000..a611f03a7
--- /dev/null
+++ b/testsuite/gna/bug0123/repro.vhdl
@@ -0,0 +1,10 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ type mem_t is array (natural range <>) of bit_vector (3 downto 0);
+ constant cst : mem_t := (1 => "0000",
+ 2 => "0001",
+ 3 => "00" & "01");
+begin
+end behav;
diff --git a/testsuite/gna/bug0123/testsuite.sh b/testsuite/gna/bug0123/testsuite.sh
new file mode 100755
index 000000000..baeedcc88
--- /dev/null
+++ b/testsuite/gna/bug0123/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"