aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-11-30 05:28:42 +0100
committerTristan Gingold <tgingold@free.fr>2022-11-30 05:30:00 +0100
commitd1cb16629dc3c0d62f4c397c2362c79b20874406 (patch)
treea398b76a71c097c38fef3e9c9cd97bec66d9e8c1
parentf54124861d2488e234887fc020ce0455f2a41d1d (diff)
downloadghdl-d1cb16629dc3c0d62f4c397c2362c79b20874406.tar.gz
ghdl-d1cb16629dc3c0d62f4c397c2362c79b20874406.tar.bz2
ghdl-d1cb16629dc3c0d62f4c397c2362c79b20874406.zip
testsuite/synth: add a test for calling operator by name
-rw-r--r--testsuite/synth/unary01/func.vhdl14
-rwxr-xr-xtestsuite/synth/unary01/testsuite.sh6
2 files changed, 18 insertions, 2 deletions
diff --git a/testsuite/synth/unary01/func.vhdl b/testsuite/synth/unary01/func.vhdl
new file mode 100644
index 000000000..e931a0c67
--- /dev/null
+++ b/testsuite/synth/unary01/func.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity func is
+ port (a, b : in std_logic_vector(7 downto 0);
+ o, p : out std_logic);
+end func;
+
+architecture behav of func is
+begin
+ o <= "or"(a);
+ p <= "and"(b);
+end behav;
diff --git a/testsuite/synth/unary01/testsuite.sh b/testsuite/synth/unary01/testsuite.sh
index 489868f74..335423189 100755
--- a/testsuite/synth/unary01/testsuite.sh
+++ b/testsuite/synth/unary01/testsuite.sh
@@ -4,10 +4,12 @@
GHDL_STD_FLAGS=--std=08
-synth test.vhdl -e test > syn_test.vhdl
+synth_only test
analyze syn_test.vhdl
-synth snot.vhdl -e > syn_snot.vhdl
+synth_only func
+
+synth_only snot
clean
echo "Test successful"