aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorUnai Martinez-Corral <38422348+umarcor@users.noreply.github.com>2021-06-26 19:40:25 +0100
committerGitHub <noreply@github.com>2021-06-26 20:40:25 +0200
commitb4d4d9abb322ed0807d2ba0888e73820499aa338 (patch)
treeb980d379e95034ee72aac29955833e1ef0dfd912
parent111fe055b2f0f3a0225d2553cf739572d691a14d (diff)
downloadghdl-b4d4d9abb322ed0807d2ba0888e73820499aa338.tar.gz
ghdl-b4d4d9abb322ed0807d2ba0888e73820499aa338.tar.bz2
ghdl-b4d4d9abb322ed0807d2ba0888e73820499aa338.zip
Codacy issues, Travis cleanup and update ghdl.texi (#1807)
* ghw: fix memleaks reported by codacy * ghw: printf issues and style (GNU indent) * testsuite/gna: minor printf issues * testsuite: fix issues reported by codacy * scripts/ci-run: clean travis stuff (deprecated) * scripts: fix issues reported by codacy * doc/Makefile: add .PHONY * doc: update ghdl.texi * testsuite/synth: use synth_tb
-rw-r--r--doc/Makefile2
-rw-r--r--doc/ghdl.texi48459
-rw-r--r--ghw/libghw.c10
-rwxr-xr-xscripts/ci-run.sh68
-rwxr-xr-xscripts/vendors/compile-altera.sh2
-rwxr-xr-xscripts/vendors/compile-lattice.sh2
-rwxr-xr-xscripts/vendors/compile-osvvm.sh6
-rwxr-xr-xscripts/vendors/compile-xilinx-ise.sh12
-rwxr-xr-xscripts/vendors/compile-xilinx-vivado.sh2
-rwxr-xr-xscripts/vendors/filter.analyze.sh6
-rwxr-xr-xscripts/vendors/shared.sh16
-rwxr-xr-xtestsuite/gna/bug097/testsuite.sh2
-rwxr-xr-xtestsuite/gna/issue1067/testsuite.sh18
-rwxr-xr-xtestsuite/gna/issue1206/testsuite.sh2
-rw-r--r--testsuite/gna/issue1256/vpi_plugin.c6
-rw-r--r--testsuite/gna/issue237/vpi1.c2
-rwxr-xr-xtestsuite/synth/aggr03/testsuite.sh11
-rwxr-xr-xtestsuite/synth/block01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/cnt01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/concat01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/const01/testsuite.sh4
-rwxr-xr-xtestsuite/synth/dff02/testsuite.sh9
-rwxr-xr-xtestsuite/synth/dff03/testsuite.sh9
-rwxr-xr-xtestsuite/synth/exit01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/forgen01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/fsm01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/fsm02/testsuite.sh18
-rwxr-xr-xtestsuite/synth/fsm03/testsuite.sh9
-rwxr-xr-xtestsuite/synth/func01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/func03/testsuite.sh4
-rwxr-xr-xtestsuite/synth/iassoc01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/if01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/insert01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1014/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1018/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1021/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1025/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1034/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1035/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue1036/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue1042/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue1046/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1054/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1058/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1062/testsuite.sh10
-rwxr-xr-xtestsuite/synth/issue1064/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1076/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1077/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1080/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1082/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1083/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1090/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1096/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1100/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1107/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1114/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1116/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1117/testsuite.sh18
-rwxr-xr-xtestsuite/synth/issue1126/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1130/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1133/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue1675/testsuite.sh36
-rwxr-xr-xtestsuite/synth/issue872/testsuite.sh3
-rwxr-xr-xtestsuite/synth/issue882/testsuite.sh3
-rwxr-xr-xtestsuite/synth/issue937/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue940/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue941/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue946/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue947/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue951/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue955/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue960/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue963/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue964/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue973/testsuite.sh11
-rwxr-xr-xtestsuite/synth/lit01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/memmux01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/output01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/physical01/testsuite.sh7
-rwxr-xr-xtestsuite/synth/rec01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/stmt01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth12/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth34/testsuite.sh9
-rwxr-xr-xtestsuite/synth/synth36/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth38/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth39/testsuite.sh9
-rwxr-xr-xtestsuite/synth/synth40/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth50/testsuite.sh4
-rwxr-xr-xtestsuite/synth/synth56/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth76/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth8/testsuite.sh9
-rwxr-xr-xtestsuite/synth/synth87/testsuite.sh11
-rwxr-xr-xtestsuite/synth/uassoc01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/var01/testsuite.sh9
-rwxr-xr-xtestsuite/vests/testsuite.sh4
95 files changed, 45956 insertions, 3394 deletions
diff --git a/doc/Makefile b/doc/Makefile
index b094368e1..0bad2ab5b 100644
--- a/doc/Makefile
+++ b/doc/Makefile
@@ -12,6 +12,8 @@ ALLSPHINXOPTS = -d $(BUILDDIR)/doctrees -T -D language=en $(PAPEROPT_$(PAPER))
all: ghdl.info
+.PHONY: ghdl.texi
+
#---
man:
diff --git a/doc/ghdl.texi b/doc/ghdl.texi
index 16a2e2d70..da2aa4d51 100644
--- a/doc/ghdl.texi
+++ b/doc/ghdl.texi
@@ -3,7 +3,7 @@
@setfilename GHDL.info
@documentencoding UTF-8
@ifinfo
-@*Generated by Sphinx 1.8.2.@*
+@*Generated by Sphinx 3.3.1.@*
@end ifinfo
@settitle GHDL Documentation
@defindex ge
@@ -21,11 +21,11 @@
@copying
@quotation
-GHDL 0.37-dev, Sep 20, 2019
+GHDL 2.0.0-dev, Jun 26, 2021
Tristan Gingold and contributors
-Copyright @copyright{} 2002-2019, Tristan Gingold and contributors
+Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
@end quotation
@end copying
@@ -47,8 +47,10 @@ Copyright @copyright{} 2002-2019, Tristan Gingold and contributors
@end ifnottex
@c %**start of body
-@anchor{index doc}@anchor{0}
-@c # preload commonly known graphical characters like (c)
+@anchor{index doc}@anchor{3c}
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -57,23 +59,27 @@ Copyright @copyright{} 2002-2019, Tristan Gingold and contributors
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
-
-
+@c This data file has been placed in the public domain.
-__________________________________________________________________
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c # define a hard line break for HTML
-This manual is the user and reference manual for GHDL. It does not contain an
-introduction to VHDL. Thus, the reader should have at least a basic knowledge
-of VHDL. A good knowledge of VHDL language reference manual (usually called
-LRM) is a plus.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-This document was generated on Sep 20, 2019 - 03:55.
+@c This data file has been placed in the public domain.
-@c # preload commonly known graphical characters like (c)
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -82,279 +88,1191 @@ This document was generated on Sep 20, 2019 - 03:55.
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
@menu
-* About GHDL::
-* Contributing::
-* Copyrights | Licenses::
-* Quick Start Guide::
-* Invoking GHDL::
-* Simulation and runtime::
-* Interfacing to other languages::
-* Releases and sources::
-* Building GHDL from Sources::
-* Precompile Vendor Primitives::
-* Command Reference::
-* Coding Style::
-* Implementation of VHDL::
-* Implementation of VITAL::
-* Roadmap | Future Improvements::
-* Meta::
-* Index: Index<2>.
-* Index::
+* About::
+* Getting | Installing::
+* Contributing::
+* Copyrights | Licenses::
+* Quick Start Guide::
+* Invoking GHDL::
+* Simulation (runtime): Simulation runtime.
+* Synthesis::
+* Additional Command Reference::
+* Implementation of VHDL::
+* Implementation of VITAL::
+* Directory structure::
+* Building GHDL from Sources::
+* pyGHDL::
+* GHDL Waveform (GHW): GHDL Waveform GHW.
+* Debugging::
+* Coding Style::
+* Scripts::
+* Overview::
+* Front-end::
+* AST::
+* Run Time Information (RTI): Run Time Information RTI.
+* GNATdoc::
+* Index: Index<2>.
+* Python Module Index: Python Module Index<2>.
+* Python Module Index::
+* Index::
@detailmenu
--- The Detailed Node Listing ---
-About GHDL
+About
+
+* What is VHDL?::
+* What is GHDL?::
+* Who uses GHDL?::
+
+Getting | Installing
+
+* Package managers::
+* Nightly packages::
+* Precompile Vendor Primitives::
+
+Precompile Vendor Primitives
+
+* Supported Vendors Libraries::
+* Supported Simulation and Verification Libraries::
+* Script Configuration::
+* Compiling in Bash::
+* Compiling in PowerShell::
+* Configuration Files::
+* Additional Script Parameters::
+
+Configuration Files
+
+* For Bash; config.sh: For Bash config sh.
+* For PowerShell; config.psm1: For PowerShell config psm1.
+
+Additional Script Parameters
-* What is VHDL?::
-* What is GHDL?::
-* Who uses GHDL?::
+* For Bash Scripts;: For Bash Scripts.
+* For PowerShell Scripts;: For PowerShell Scripts.
Contributing
-* Reporting bugs::
-* Requesting enhancements::
-* Improving the documentation::
-* Fork@comma{} modify and pull-request: Fork modify and pull-request.
-* Related interesting projects::
+* Reporting bugs::
+* Requesting enhancements::
+* Improving the documentation::
+* Fork@comma{} modify and pull-request: Fork modify and pull-request.
+* Related interesting projects::
Copyrights | Licenses
-* GNU GPLv2::
-* CC-BY-SA::
-* List of Contributors::
+* GNU GPLv2::
+* CC-BY-SA::
+* List of Contributors::
Quick Start Guide
-* The ‘Hello world’ program::
-* The heartbeat program::
-* A full adder::
-* Starting with a design::
-* Starting with your design::
+* Simulation::
+* Python Interfaces::
+
+Simulation
+
+* Hello world program::
+* Heartbeat module::
+* Full adder module and testbench::
+* Working with non-trivial designs::
+
+Python Interfaces
+
+* Language Server::
+
+Language Server
+
+* ghdl-ls::
+
+ghdl-ls
+
+* optional arguments::
Invoking GHDL
-* Design building commands::
-* Design rebuilding commands::
-* Options::
-* Warnings::
-* Diagnostics Control::
-* Library commands::
-* VPI build commands::
-* IEEE library pitfalls::
+* Design building commands::
+* Design rebuilding commands::
+* Options::
+* Warnings::
+* Diagnostics Control::
+* Library commands::
+* VPI/VHPI build commands::
+* IEEE library pitfalls::
Design building commands
-* Analysis [-a]::
-* Elaboration [-e]::
-* Run [-r]::
-* Elaborate and run [--elab-run]::
-* Check syntax [-s]::
-* Analyze and elaborate [-c]::
+* Analysis [-a]::
+* Elaboration [-e]::
+* Run [-r]::
+* Elaborate and run [--elab-run]::
+* Check syntax [-s]::
+* Analyze and elaborate [-c]::
Design rebuilding commands
-* Import [-i]::
-* Make [-m]::
-* Generate Makefile [--gen-makefile]::
-* Generate dependency file command [--gen-depends]::
+* Import [-i]::
+* Elab-order [--elab-order]::
+* Make [-m]::
+* Generate Makefile [--gen-makefile]::
+* Generate dependency file command [--gen-depends]::
-Library commands
+Simulation (runtime)
-* Directory [--dir]::
-* Clean [--clean]::
-* Remove [--remove]::
-* Copy [--copy]::
+* Simulation options::
+* Export waveforms::
+* Export hierarchy and references::
-VPI build commands
+Synthesis
-* compile [--vpi-compile]::
-* link [--vpi-link]::
-* cflags [--vpi-cflags]::
-* ldflags [--vpi-ldflags]::
-* include dir [--vpi-include-dir]::
-* library dir [--vpi-library-dir]::
+* Synthesis [--synth]::
+* Synthesis options::
+* Yosys plugin::
-Simulation and runtime
+Synthesis options
-* Simulation options::
-* Export waveforms::
-* Export hierarchy and references::
-* Debugging::
+* Assertions@comma{} PSL and formal verification: Assertions PSL and formal verification.
-Debugging
+Yosys plugin
+
+* Convert (V)HDL to other formats: Convert V HDL to other formats.
+
+Convert (V)HDL to other formats
+
+* To Verilog::
+* To EDIF::
+* To SMT::
+* To BTOR2::
+* To FIRRTL::
+* To VHDL::
+
+Additional Command Reference
+
+* Environment variables::
+* Misc commands::
+* File commands::
+* GCC/LLVM only commands::
+* Options: Options<2>.
+* Passing options to other programs::
+
+Misc commands
+
+* Help [-h]::
+* Display config [--disp-config]::
+* Display standard [--disp-standard]::
+* Version [--version]::
+
+File commands
-* GNU Debugger (GDB): GNU Debugger GDB.
+* Format [fmt]::
+* Pretty print [--pp-html]::
+* Find [-f]::
+* Chop [--chop]::
+* Lines [--lines]::
+* XML tree generation [--file-to-xml]::
-Interfacing to other languages
+GCC/LLVM only commands
+
+* Bind [--bind]::
+* Link [--link]::
+* List link [--list-link]::
+
+Implementation of VHDL
+
+* VHDL standards::
+* PSL support::
+* Source representation::
+* Library database::
+* Top entity::
+* Using vendor libraries::
-* Foreign declarations::
-* Linking foreign object files to GHDL::
-* Wrapping and starting a GHDL simulation from a foreign program::
-* Linking GHDL to Ada/C::
-* Dynamically loading foreign objects from GHDL::
-* Dynamically loading GHDL::
-* Using GRT from Ada::
+PSL support
-Foreign declarations
+* PSL implementation::
+* PSL usage::
-* Restrictions on foreign declarations::
+PSL usage
-Releases and sources
+* PSL annotations embedded in comments::
+* PSL annotations (VHDL-2008 only): PSL annotations VHDL-2008 only.
+* PSL vunit files (VHDL-2008 / Synthesis only): PSL vunit files VHDL-2008 / Synthesis only.
-* Downloading pre-built packages::
-* Downloading Source Files::
+Implementation of VITAL
+
+* VITAL packages::
+* VHDL restrictions for VITAL::
+* Backannotation::
+* Negative constraint calculation::
Building GHDL from Sources
-* Directory structure::
-* mcode backend::
-* LLVM backend::
-* GCC backend::
+* Sources::
+* mcode backend::
+* LLVM backend::
+* GCC backend::
+* TL;DR::
mcode backend
-* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2.
-* GNAT GPL; Windows: GNAT GPL Windows.
+* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2.
+* GNAT GPL; Windows: GNAT GPL Windows.
LLVM backend
-* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>.
+* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>.
+
+pyGHDL
+
+* pyGHDL.cli: pyGHDL cli.
+* pyGHDL.dom: pyGHDL dom.
+* pyGHDL.libghdl: pyGHDL libghdl.
+* pyGHDL.lsp: pyGHDL lsp.
+
+pyGHDL.cli
+
+* pyGHDL.cli.DOM: pyGHDL cli DOM.
+* pyGHDL.cli.lsp: pyGHDL cli lsp.
+
+pyGHDL.dom
+
+* pyGHDL.dom.Aggregates: pyGHDL dom Aggregates.
+* pyGHDL.dom.Common: pyGHDL dom Common.
+* pyGHDL.dom.DesignUnit: pyGHDL dom DesignUnit.
+* pyGHDL.dom.Expression: pyGHDL dom Expression.
+* pyGHDL.dom.InterfaceItem: pyGHDL dom InterfaceItem.
+* pyGHDL.dom.Literal: pyGHDL dom Literal.
+* pyGHDL.dom.Misc: pyGHDL dom Misc.
+* pyGHDL.dom.NonStandard: pyGHDL dom NonStandard.
+* pyGHDL.dom.Object: pyGHDL dom Object.
+* pyGHDL.dom.Range: pyGHDL dom Range.
+* pyGHDL.dom.Subprogram: pyGHDL dom Subprogram.
+* pyGHDL.dom.Symbol: pyGHDL dom Symbol.
+* pyGHDL.dom.Type: pyGHDL dom Type.
+* pyGHDL.dom._Translate: pyGHDL dom _Translate.
+* pyGHDL.dom._Utils: pyGHDL dom _Utils.
+* pyGHDL.dom.formatting: pyGHDL dom formatting.
+
+pyGHDL.dom.formatting
+
+* pyGHDL.dom.formatting.prettyprint: pyGHDL dom formatting prettyprint.
+
+pyGHDL.libghdl
+
+* pyGHDL.libghdl._decorator: pyGHDL libghdl _decorator.
+* pyGHDL.libghdl._types: pyGHDL libghdl _types.
+* pyGHDL.libghdl.errorout: pyGHDL libghdl errorout.
+* pyGHDL.libghdl.errorout_console: pyGHDL libghdl errorout_console.
+* pyGHDL.libghdl.errorout_memory: pyGHDL libghdl errorout_memory.
+* pyGHDL.libghdl.files_map: pyGHDL libghdl files_map.
+* pyGHDL.libghdl.files_map_editor: pyGHDL libghdl files_map_editor.
+* pyGHDL.libghdl.flags: pyGHDL libghdl flags.
+* pyGHDL.libghdl.libraries: pyGHDL libghdl libraries.
+* pyGHDL.libghdl.name_table: pyGHDL libghdl name_table.
+* pyGHDL.libghdl.std_names: pyGHDL libghdl std_names.
+* pyGHDL.libghdl.utils: pyGHDL libghdl utils.
+* pyGHDL.libghdl.version: pyGHDL libghdl version.
+* pyGHDL.libghdl.vhdl: pyGHDL libghdl vhdl.
+
+pyGHDL.libghdl.vhdl
+
+* pyGHDL.libghdl.vhdl.canon: pyGHDL libghdl vhdl canon.
+* pyGHDL.libghdl.vhdl.elocations: pyGHDL libghdl vhdl elocations.
+* pyGHDL.libghdl.vhdl.flists: pyGHDL libghdl vhdl flists.
+* pyGHDL.libghdl.vhdl.formatters: pyGHDL libghdl vhdl formatters.
+* pyGHDL.libghdl.vhdl.ieee: pyGHDL libghdl vhdl ieee.
+* pyGHDL.libghdl.vhdl.lists: pyGHDL libghdl vhdl lists.
+* pyGHDL.libghdl.vhdl.nodes: pyGHDL libghdl vhdl nodes.
+* pyGHDL.libghdl.vhdl.nodes_meta: pyGHDL libghdl vhdl nodes_meta.
+* pyGHDL.libghdl.vhdl.nodes_utils: pyGHDL libghdl vhdl nodes_utils.
+* pyGHDL.libghdl.vhdl.parse: pyGHDL libghdl vhdl parse.
+* pyGHDL.libghdl.vhdl.sem: pyGHDL libghdl vhdl sem.
+* pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib.
+* pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package.
+* pyGHDL.libghdl.vhdl.tokens: pyGHDL libghdl vhdl tokens.
+
+pyGHDL.lsp
+
+* pyGHDL.lsp.document: pyGHDL lsp document.
+* pyGHDL.lsp.lsp: pyGHDL lsp lsp.
+* pyGHDL.lsp.lsptools: pyGHDL lsp lsptools.
+* pyGHDL.lsp.references: pyGHDL lsp references.
+* pyGHDL.lsp.symbols: pyGHDL lsp symbols.
+* pyGHDL.lsp.version: pyGHDL lsp version.
+* pyGHDL.lsp.vhdl_ls: pyGHDL lsp vhdl_ls.
+* pyGHDL.lsp.workspace: pyGHDL lsp workspace.
+
+GHDL Waveform (GHW)
+
+* libghw::
+* ghwdump::
-Precompile Vendor Primitives
+Debugging
-* Supported Vendors Libraries::
-* Supported Simulation and Verification Libraries::
-* Script Configuration::
-* Compiling on Linux::
-* Compiling on Windows::
-* Configuration Files::
+* Simulation and runtime debugging options::
-Configuration Files
+Simulation and runtime debugging options
-* For Linux; config.sh: For Linux config sh.
-* For Windows; config.psm1: For Windows config psm1.
-* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts.
-* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts.
+* GNU Debugger (GDB): GNU Debugger GDB.
-Command Reference
+Coding Style
-* Environment variables::
-* Misc commands::
-* File commands::
-* GCC/LLVM only commands::
-* Options: Options<2>.
-* Passing options to other programs::
+* Ada::
+* Shell::
+* Guidelines to edit the documentation::
+* Documentation configuration::
-Misc commands
+Guidelines to edit the documentation
-* Help [-h]::
-* Display config [--disp-config]::
-* Display standard [--disp-standard]::
-* Version [--version]::
+* Guidelines to edit section ‘Building’::
-File commands
+Scripts
-* Pretty print [--pp-html]::
-* Find [-f]::
-* Chop [--chop]::
-* Lines [--lines]::
+* pnodes::
+* pnodespy::
-GCC/LLVM only commands
+pnodes
-* Bind [--bind]::
-* Link [--link]::
-* List link [--list-link]::
+* positional arguments::
+* optional arguments: optional arguments<2>.
-Implementation of VHDL
+pnodespy
-* VHDL standards::
-* PSL implementation::
-* Source representation::
-* Library database::
-* Top entity::
-* Using vendor libraries::
+* positional arguments: positional arguments<2>.
+* optional arguments: optional arguments<3>.
-Implementation of VITAL
+AST
+
+* Introduction::
+* The AST in GHDL::
+* Why a meta-model ?::
+* Dealing with ownership::
+* Node Type::
-* VITAL packages::
-* VHDL restrictions for VITAL::
-* Backannotation::
-* Negative constraint calculation::
+Run Time Information (RTI)
-Meta
+* Useful Definitions::
+* RTI Nodes / RTII Nodes::
-* General guidelines to edit the documentation::
-* Guidelines to edit section ‘Building’::
-* Documentation configuration::
-* Dist::
+RTI Nodes / RTII Nodes
+
+* Architecture RTI (Ghdl_Rtin_Block): Architecture RTI Ghdl_Rtin_Block.
+* Entity RTI (Ghdl_Rtin_Block): Entity RTI Ghdl_Rtin_Block.
+* Other Blocks (Package/Process) (Ghdl_Rtin_Block): Other Blocks Package/Process Ghdl_Rtin_Block.
+* if_generate / case_generate (Ghdl_Rtin_Block): if_generate / case_generate Ghdl_Rtin_Block.
+* for_generate (Ghdl_Rtin_Generate): for_generate Ghdl_Rtin_Generate.
+* instance (Ghdl_Rtin_Instance): instance Ghdl_Rtin_Instance.
+* Port (Ghdl_Rtin_Object): Port Ghdl_Rtin_Object.
+* Array Kinds::
+* Object_To_Base_Bound::
+* Array_Type (Ghdl_Rtin_Type_Array): Array_Type Ghdl_Rtin_Type_Array.
+* Array Subtype (Ghdl_Rtin_Subtype_Array): Array Subtype Ghdl_Rtin_Subtype_Array.
+* Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object): Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object.
+* Record Kinds::
+* Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record.
+* Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record<2>.
+* Element Type (Ghdl_Rtin_Element): Element Type Ghdl_Rtin_Element.
+* Examples::
@end detailmenu
@end menu
-@node About GHDL,Contributing,Top,Top
-@anchor{about doc}@anchor{1}@anchor{about about-ghdl}@anchor{2}@anchor{about ghdl-documentation}@anchor{3}
-@chapter About GHDL
+@node About,Getting | Installing,Top,Top
+@anchor{about doc}@anchor{3d}@anchor{about about}@anchor{3e}@anchor{about ghdl}@anchor{3f}
+@chapter About
@menu
-* What is VHDL?::
-* What is GHDL?::
-* Who uses GHDL?::
+* What is VHDL?::
+* What is GHDL?::
+* Who uses GHDL?::
@end menu
-@node What is VHDL?,What is GHDL?,,About GHDL
-@anchor{about intro-vhdl}@anchor{4}@anchor{about what-is-vhdl}@anchor{5}
+@node What is VHDL?,What is GHDL?,,About
+@anchor{about intro-vhdl}@anchor{40}@anchor{about what-is-vhdl}@anchor{41}
@section What is @cite{VHDL}?
-VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}) Hardware Description Language (HDL@footnote{https://en.wikipedia.org/wiki/HDL}), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
+VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}) Hardware Description
+Language (HDL@footnote{https://en.wikipedia.org/wiki/HDL}), which is a programming language used to describe a logic circuit by function, data flow
+behavior, or structure.
-Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language, and you can write any algorithm with it. If you are able to write programs, you will find in VHDL features similar to those found in procedural languages such as @cite{C}, @cite{Python}, or @cite{Ada}. Indeed, VHDL derives most of its syntax and semantics from Ada. Knowing @cite{Ada} is an advantage for learning VHDL (it is an advantage in general as well).
+Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language, and you can write any
+algorithm with it. If you are able to write programs, you will find in VHDL features similar to those found in procedural
+languages such as @cite{C}, @cite{Python}, or @cite{Ada}. Indeed, VHDL derives most of its syntax and semantics from Ada. Knowing @cite{Ada} is
+an advantage for learning VHDL (it is an advantage in general as well).
-However, VHDL was not designed as a general purpose language but as an @cite{HDL}. As the name implies, VHDL aims at modeling or documenting electronics systems. Due to the nature of hardware components which are always running, VHDL is a highly concurrent language, built upon an event-based timing model.
+However, VHDL was not designed as a general purpose language but as an @cite{HDL}. As the name implies, VHDL aims at modeling or
+documenting electronics systems. Due to the nature of hardware components which are always running, VHDL is a highly
+concurrent language, built upon an event-based timing model.
-Like a program written in any other language, a VHDL program can be executed. Since VHDL is used to model designs, the term @emph{simulation} is often used instead of @cite{execution}, with the same meaning. At the same time, like a design written in another @cite{HDL}, a set of VHDL sources can be transformed with a @emph{synthesis tool} into a netlist, that is, a detailed gate-level implementation.
+Like a program written in any other language, a VHDL program can be executed. Since VHDL is used to model designs, the term
+@emph{simulation} is often used instead of @cite{execution}, with the same meaning. At the same time, like a design written in
+another @cite{HDL}, a set of VHDL sources can be transformed with a @emph{synthesis tool} into a netlist, that is, a detailed
+gate-level implementation.
-The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}. Four revisions exist: 1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/}, 2002@footnote{http://ieeexplore.ieee.org/document/1003477/} and 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}. The standardization is handled by the VHDL Analysis and Standardization Group (VASG/P1076@footnote{http://www.eda-twiki.org/vasg/}).
+The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}. Five revisions
+exist: 1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/},
+2002@footnote{http://ieeexplore.ieee.org/document/1003477/}, 2008@footnote{http://ieeexplore.ieee.org/document/4772740/} and
+2019@footnote{https://ieeexplore.ieee.org/document/8938196}. The standardization is handled by the VHDL Analysis and
+Standardization Group (VASG/P1076@footnote{http://www.eda-twiki.org/vasg/}).
-@node What is GHDL?,Who uses GHDL?,What is VHDL?,About GHDL
-@anchor{about intro-ghdl}@anchor{6}@anchor{about what-is-ghdl}@anchor{7}
+@node What is GHDL?,Who uses GHDL?,What is VHDL?,About
+@anchor{about intro-ghdl}@anchor{42}@anchor{about what-is-ghdl}@anchor{43}
@section What is GHDL?
-@cite{GHDL} is a shorthand for @cite{G Hardware Design Language} (currently, @cite{G} has no meaning). It is a VHDL compiler that can execute (nearly) any VHDL program. GHDL is @emph{not} a synthesis tool: you cannot create a netlist with GHDL (yet).
+@cite{GHDL} is a shorthand for @cite{G Hardware Design Language} (currently, @cite{G} has no meaning). It is a VHDL analyzer, compiler,
+simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
-Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as @cite{C} or @cite{C++}. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
+@cartouche
+@quotation Note
+For almost 20 years, GHDL was @emph{not} a synthesis tool: you could not create a netlist. Hence, most of the content in this
+documentation corresponds to the usage of GHDL as a compiler/simulator. See @ref{44,,Synthesis} for further details
+regarding synthesis.
+@end quotation
+@end cartouche
-GHDL can use multiple back-ends, i.e. code generators, (GCC@footnote{http://gcc.gnu.org/}, LLVM@footnote{http://llvm.org/} or x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one) and runs on GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution}, Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™ , both on x86 and on x86_64.
+Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an
+intermediary language such as @cite{C} or @cite{C++}. Therefore, the compiled code should be faster and the analysis time should be
+shorter than with a compiler using an intermediary language.
-The current version of GHDL does not contain any graphical viewer: you cannot see signal waves. You can still check the behavior of your design with a test bench. Moreover, the current version can produce a GHW@footnote{http://ghdl.readthedocs.io/en/latest/using/Simulation.html?highlight=GHW#cmdoption-wave}, VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files which can be viewed with a waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer}, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
+GHDL can use multiple back-ends, i.e. code generators, (GCC@footnote{http://gcc.gnu.org/}, LLVM@footnote{http://llvm.org/} or
+x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one named @emph{mcode}) and runs on GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution},
+Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™; on x86, x86_64, armv6/armv7/aarch32/aarch64,
+ppc64, etc.
-GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. It supports the 1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/} and 2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially, the latest, 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}. PSL@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language} is also partially supported.
+The current version of GHDL does not contain any built-in graphical viewer: you cannot see signal waves. You can still check
+the behavior of your design with a test bench. Moreover, GHW@footnote{http://ghdl.readthedocs.io/en/latest/using/Simulation.html?highlight=GHW#cmdoption-wave},
+VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files can be produced, which can be viewed with a waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer},
+such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
-Several third party projects are supported: VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, cocotb@footnote{https://github.com/potentialventures/cocotb} (through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}), …
+GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. It supports the
+1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/} and
+2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially, 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}.
+Property Specification Language (PSL)@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language} is also partially supported.
-@cartouche
-@quotation Hint
-Although synthesis is not supported yet, there is some experimental feature to generate RTL netlists (VHDL or EDIF) from synthesisable code. For subcommand @code{--synth} to be available, GHDL must be configured/built with option @code{--enable-synth} (GCC 8.1>= required, due to some new GNAT features which are only available in recent releases). Since this is a proof-of-concept, the output is mostly a dump of an internal structure for now. Therefore, it is not very useful, except for debugging.
+Several third party projects are supported: Yosys@footnote{https://github.com/YosysHQ/yosys} (through the ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin})
+cocotb@footnote{https://github.com/potentialventures/cocotb} (through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}),
+VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, …
+
+@node Who uses GHDL?,,What is GHDL?,About
+@anchor{about intro-who}@anchor{45}@anchor{about who-uses-ghdl}@anchor{46}
+@section Who uses GHDL?
+
+
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Getting | Installing,Contributing,About,Top
+@anchor{getting doc}@anchor{47}@anchor{getting getting-installing}@anchor{48}@anchor{getting packages}@anchor{49}
+@chapter Getting | Installing
-Moreover, ghdlsynth@footnote{https://github.com/tgingold/ghdlsynth-beta} is a complementary repository that lets GHDL to be loaded by yosys@footnote{http://www.clifford.at/yosys/} as a frontend plugin module, in order to generate bitstreams for some FPGA devices.
+
+@menu
+* Package managers::
+* Nightly packages::
+* Precompile Vendor Primitives::
+
+@end menu
+
+@node Package managers,Nightly packages,,Getting | Installing
+@anchor{getting package-managers}@anchor{4a}
+@section Package managers
+
+
+Package managers of many popular distributions provide pre-built packages of GHDL. This is the case for @cite{apt}
+(Debian/Ubuntu), @cite{dnf} (Fedora), @cite{pacman} (Arch Linux, MSYS2) or @cite{brew} (macOS). Since GHDL supports three different backends
+and two library sets (@emph{regular} or @emph{GPL-compatible}), at least six packages with different features might be available in
+each package manager.
+
+As a rule of thumb, mcode backend is the fastest for analysis and synthesis. It also allows setting the base simulation time
+for speeding up execution. Therefore, it is the recommended pick if available on your platform (x86/amd64, on Windows x86
+only). On other platforms, or for using specific features for co-simulation or code coverage, LLVM or GCC need to be used.
+See further differences between backends in @ref{4b,,Building GHDL from Sources}.
+
+@node Nightly packages,Precompile Vendor Primitives,Package managers,Getting | Installing
+@anchor{getting nightly-packages}@anchor{4c}@anchor{getting release-packages}@anchor{4d}
+@section Nightly packages
+
+
+Assets from nightly GHDL builds are available at github.com/ghdl/ghdl/releases/nightly@footnote{https://github.com/ghdl/ghdl/releases/nightly}.
+These are mostly meant to be used in Continuous Integration (CI) workflows. Precisely, setup-ghdl-ci@footnote{https://github.com/ghdl/setup-ghdl-ci}
+allows to easily setup nightly assets in GitHub Actions workflows.
+
+However, users on Windows (MSYS2) or Ubuntu might want to download the tarballs/zipfiles and extract/install them locally.
+
+@node Precompile Vendor Primitives,,Nightly packages,Getting | Installing
+@anchor{getting getting-precompvendor}@anchor{4e}@anchor{getting precompile-vendor-primitives}@anchor{4f}
+@section Precompile Vendor Primitives
+
+
+Vendors like Lattice, Intel (Altera) and Xilinx have their own simulation libraries,
+especially for FPGA primitives, soft and hard macros. These libraries cannot
+be shipped with GHDL, but GHDL offers prepared compile scripts to pre-compile
+these vendor libraries, if the vendor tool is present in the environment. There
+are also popular simulation and verification libraries like OSVVM @footnote{@w{(1)}
+OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
+} or
+UVVM @footnote{@w{(2)}
+UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
+}, which can be pre-compiled, too.
+
+The compilation scripts are writen in the shell languages: @emph{PowerShell} for
+@emph{Windows™} and @emph{Bash} for @emph{GNU/Linux}, @emph{MacOS} and @emph{MSYS2}/@emph{MinGW}. The
+compile scripts can colorize the GHDL warning and error lines with the help
+of @code{grc/grcat} @footnote{@w{(3)}
+Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/software/grc.html}
+}.
+
+@cartouche
+@quotation Hint
+Vendor precompile scripts for OSVVM and UVVM are tested periodically in ghdl/extended-tests@footnote{https://github.com/ghdl/extended-tests}.
@end quotation
@end cartouche
-@node Who uses GHDL?,,What is GHDL?,About GHDL
-@anchor{about intro-who}@anchor{8}@anchor{about who-uses-ghdl}@anchor{9}
-@section Who uses GHDL?
+@menu
+* Supported Vendors Libraries::
+* Supported Simulation and Verification Libraries::
+* Script Configuration::
+* Compiling in Bash::
+* Compiling in PowerShell::
+* Configuration Files::
+* Additional Script Parameters::
+
+@end menu
+
+@node Supported Vendors Libraries,Supported Simulation and Verification Libraries,,Precompile Vendor Primitives
+@anchor{getting supported-vendors-libraries}@anchor{50}
+@subsection Supported Vendors Libraries
+
+
+
+@itemize *
+
+@item
+Lattice (3.6 or later):
+
+
+@itemize *
+
+@item
+@code{ec}
+
+@item
+@code{ecp}, @code{ecp2}, @code{ecp3}, @code{ecp5u}
+
+@item
+@code{lptm}, @code{lptm2}
+
+@item
+@code{machxo}, @code{machxo2}, @code{machxo3l}, @code{machxo3d}
+
+@item
+@code{sc}, @code{scm}
+
+@item
+@code{xp}, @code{xp2}
+
+@item
+…
+@end itemize
+
+@item
+Intel (Altera) Quartus (13.0 or later):
+
+
+@itemize *
+
+@item
+@code{lpm}, @code{sgate}
+
+@item
+@code{altera}, @code{altera_mf}, @code{altera_lnsim}
+
+@item
+@code{arriaii}, @code{arriaii_pcie_hip}, @code{arriaiigz}
+
+@item
+@code{arriav}, @code{arriavgz}, @code{arriavgz_pcie_hip}
+
+@item
+@code{cycloneiv}, @code{cycloneiv_pcie_hip}, @code{cycloneive}
+
+@item
+@code{cyclonev}
+
+@item
+@code{max}, @code{maxii}, @code{maxv}
+
+@item
+@code{stratixiv}, @code{stratixiv_pcie_hip}
+
+@item
+@code{stratixv}, @code{stratixv_pcie_hip}
+
+@item
+@code{fiftyfivenm}, @code{twentynm}
+
+@item
+…
+@end itemize
+
+@item
+Xilinx ISE (14.0 or later):
+
+
+@itemize *
+
+@item
+@code{unisim} (incl. @code{secureip})
+
+@item
+@code{unimacro}
+
+@item
+@code{simprim} (incl. @code{secureip})
+
+@item
+@code{xilinxcorelib}
+@end itemize
+
+@item
+Xilinx Vivado (2014.1 or later):
+
+
+@itemize *
+
+@item
+@code{unisim} (incl. @code{secureip})
+
+@item
+@code{unimacro}
+@end itemize
+@end itemize
+
+@node Supported Simulation and Verification Libraries,Script Configuration,Supported Vendors Libraries,Precompile Vendor Primitives
+@anchor{getting supported-simulation-and-verification-libraries}@anchor{51}
+@subsection Supported Simulation and Verification Libraries
+
+
+
+@itemize *
+
+@item
+OSVVM @footnote{@w{(1)}
+OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
+} (for VHDL-2008)
+
+@item
+UVVM @footnote{@w{(2)}
+UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
+} (for VHDL-2008)
+@end itemize
+
+
+__________________________________________________________________
+
+
+@node Script Configuration,Compiling in Bash,Supported Simulation and Verification Libraries,Precompile Vendor Primitives
+@anchor{getting script-configuration}@anchor{52}
+@subsection Script Configuration
+
+
+The vendor library compile scripts need to know where the used / latest vendor
+tool chain is installed. Therefore, the scripts implement a default installation
+directory search as well as environment variable checks. If a vendor tool cannot
+be detected or the script chooses the wrong vendor library source directory,
+then it’s possible to provide the path via @code{--source} (Bash) or @code{-Source}
+(PoSh).
+
+The generated output is stored relative to the current working directory. The
+scripts create a sub-directory for each vendor. The default output directory can
+be overwritten by the parameter @code{--output} (Bash) or @code{-Output} (PoSh).
+
+To compile all source files with GHDL, the simulator executable is searched in
+@code{PATH}. The found default GHDL executable can be overwritten by setting the
+environment variable @code{GHDL} or by passing the parameter @code{--ghdl} (Bash) or
+@code{-GHDL} (PoSh) to the scripts.
+
+If the vendor library compilation is used very often, it’s recommend to configure
+these parameters in @code{config.sh} (Bash) or @code{config.psm1} (PoSh), so the command
+line can be shortened to the essential parts.
+
+
+__________________________________________________________________
+
+
+@node Compiling in Bash,Compiling in PowerShell,Script Configuration,Precompile Vendor Primitives
+@anchor{getting compiling-in-bash}@anchor{53}
+@subsection Compiling in Bash
+
+
+The provided Bash scripts support these environments:
+
+
+@itemize *
+
+@item
+Linux
+
+@item
+MacOS
+
+@item
+MSYS2 / MinGW
+
+@item
+WSL (Windows Subsystem for Linux)
+@end itemize
+
+Follow these steps:
+
+
+@itemize *
+
+@item
+@strong{Step 0 - Configure the scripts (optional)}
+
+See the next section for how to configure @code{config.sh}.
+
+@item
+@strong{Step 1 - Browse to your simulation working directory}
+
+@example
+$ cd <MySimulationFolder>
+@end example
+
+@item
+@strong{Step 2 - Start the compilation script(s)}
+
+Choose one or multiple of the following scripts to run the pre-compilation
+process.
+
+@example
+$ /usr/local/lib/ghdl/vendors/compile-altera.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-intel.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-lattice.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-osvvm.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-uvvm.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-xilinx-ise.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-xilinx-vivado.sh --all
+@end example
+
+In most cases GHDL is installed into @code{/usr/local/}. The scripts are
+installed into the @code{lib\ghdl\vendors} directory.
+
+@item
+@strong{Step 3 - Viewing the result}
+
+This creates vendor directories in your current working directory and
+compiles the vendor files into them.
+
+@example
+$ ls -ahl
+...
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:41 altera
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:42 intel
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:42 lattice
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:48 osvvm
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:58 uvvm
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:58 xilinx-ise
+drwxr-xr-x 2 <user> <group> 56K Mar 09 17:48 xilinx-vivado
+@end example
+@end itemize
+
+
+__________________________________________________________________
+
+
+@node Compiling in PowerShell,Configuration Files,Compiling in Bash,Precompile Vendor Primitives
+@anchor{getting compiling-in-powershell}@anchor{54}
+@subsection Compiling in PowerShell
+
+
+The provided PowerShell scripts support these environments:
+
+
+@itemize *
+
+@item
+Windows™ 10 (PowerShell 5 and PowerShell 6)
+@end itemize
+
+Follow these steps:
+
+
+@itemize *
+
+@item
+@strong{Step 0 - Configure the scripts (optional)}
+
+See the next section for how to configure @code{config.psm1}.
+
+@item
+@strong{Step 1 - Browse to your simulation working directory}
+
+@example
+PS> cd <MySimulationFolder>
+@end example
+
+@item
+@strong{Step 2 - Start the compilation script(s)}
+
+Choose one or multiple of the following scripts to run the pre-compilation
+process.
+
+@example
+PS> <GHDL>\lib\ghdl\vendors\compile-altera.ps1 -All
+PS> <GHDL>\lib\ghdl\vendors\compile-intel.ps1 -All
+PS> <GHDL>\lib\ghdl\vendors\compile-lattice.ps1 -All
+PS> <GHDL>\lib\ghdl\vendors\compile-osvvm.ps1 -All
+PS> <GHDL>\lib\ghdl\vendors\compile-uvvm.ps1 -All
+PS> <GHDL>\lib\ghdl\vendors\compile-xilinx-ise.ps1 -All
+PS> <GHDL>\lib\ghdl\vendors\compile-xilinx-vivado.ps1 -All
+@end example
+
+@c # In most cases GHDL is installed into ``/usr/local/``.
+
+The scripts are installed into the @code{lib\ghdl\vendors} directory.
+
+@item
+@strong{Step 3 - Viewing the result}
+
+This creates vendor directories in your current working directory and
+compiles the vendor files into them.
+
+@example
+PS> dir
+ Directory: D:\temp\ghdl
+
+Mode LastWriteTime Length Name
+---- ------------- ------ ----
+d---- 09.03.2018 19:33 <DIR> altera
+d---- 09.03.2018 19:38 <DIR> intel
+d---- 09.03.2018 19:38 <DIR> lattice
+d---- 09.03.2018 19:38 <DIR> osvvm
+d---- 09.03.2018 19:45 <DIR> uvvm
+d---- 09.03.2018 19:06 <DIR> xilinx-ise
+d---- 09.03.2018 19:40 <DIR> xilinx-vivado
+@end example
+@end itemize
+
+
+__________________________________________________________________
+
+
+@node Configuration Files,Additional Script Parameters,Compiling in PowerShell,Precompile Vendor Primitives
+@anchor{getting configuration-files}@anchor{55}
+@subsection Configuration Files
+
+
+@menu
+* For Bash; config.sh: For Bash config sh.
+* For PowerShell; config.psm1: For PowerShell config psm1.
+
+@end menu
+
+@node For Bash config sh,For PowerShell config psm1,,Configuration Files
+@anchor{getting for-bash-config-sh}@anchor{56}
+@subsubsection For Bash: @cite{config.sh}
+
+
+Please open the @code{config.sh} file and set the dictionary entries for the
+installed vendor tools to your tool’s installation directories. Use an empty
+string @code{""} for not installed tools.
+
+@code{config.sh}:
+
+@example
+declare -A InstallationDirectory
+InstallationDirectory[AlteraQuartus]="/opt/Altera/16.0"
+InstallationDirectory[IntelQuartus]="/opt/intelFPGA/20.1"
+InstallationDirectory[LatticeDiamond]="/opt/Diamond/3.10_x64"
+InstallationDirectory[OSVVM]="/home/<user>/git/GitHub/OSVVM"
+InstallationDirectory[UVVM]="/home/<user>/git/GitHub/UVVM"
+InstallationDirectory[XilinxISE]="/opt/Xilinx/14.7"
+InstallationDirectory[XilinxVivado]="/opt/Xilinx/Vivado/2020.2"
+@end example
+
+@node For PowerShell config psm1,,For Bash config sh,Configuration Files
+@anchor{getting for-powershell-config-psm1}@anchor{57}
+@subsubsection For PowerShell: @cite{config.psm1}
+
+
+Please open the @code{config.psm1} file and set the dictionary entries for the
+installed vendor tools to your tool’s installation
+folder. Use an empty string @code{""} for not installed tools.
+
+@code{config.psm1}:
+
+@example
+$InstallationDirectory = @@@{
+ "AlteraQuartus" = "C:\Altera\16.0";
+ "IntelQuartus" = "C:\Altera\20.1";
+ "LatticeDiamond" = "C:\Lattice\Diamond\3.10_x64";
+ "XilinxISE" = "C:\Xilinx\14.7\ISE_DS";
+ "XilinxVivado" = "C:\Xilinx\Vivado\2020.2";
+ "OSVVM" = "C:\git\GitHub\OSVVM";
+ "UVVM" = "C:\git\GitHub\UVVM"
+@}
+@end example
+
+@node Additional Script Parameters,,Configuration Files,Precompile Vendor Primitives
+@anchor{getting additional-script-parameters}@anchor{58}
+@subsection Additional Script Parameters
+
+
+Each script supports partial compilations e.g. of shared packages and
+individual parts. In addition, the amount of printout to the console can be
+controlled. Some scripts may offer vendor specific options.
+
+@menu
+* For Bash Scripts;: For Bash Scripts.
+* For PowerShell Scripts;: For PowerShell Scripts.
+
+@end menu
+
+@node For Bash Scripts,For PowerShell Scripts,,Additional Script Parameters
+@anchor{getting for-bash-scripts}@anchor{59}
+@subsubsection For Bash Scripts:
+
+
+
+@itemize *
+
+@item
+Common parameters to most scripts:
+
+@example
+--help, -h Print the embedded help page(s).
+--clean, -c Cleanup directory before analyzing.
+--no-warnings, -n Don't show warnings. Report errors only.
+--skip-existing, -s Skip already compiled files (an *.o file exists).
+--skip-largefiles, -S Don't compile large entities like DSP and PCIe primitives.
+--halt-on-error, -H Stop compiling if an error occurred.
+@end example
+
+@item
+@code{compile-altera.sh}
+
+Selectable libraries:
+
+@example
+--all, -a Compile all libraries, including common libraries, packages and device libraries.
+--altera Compile base libraries like 'altera' and 'altera_mf'
+--max Compile device libraries for Max CPLDs
+--arria Compile device libraries for Arria FPGAs
+--cyclone Compile device libraries for Cyclone FPGAs
+--stratix Compile device libraries for Stratix FPGAs
+@end example
+
+Compile options:
+
+@example
+--vhdl93 Compile selected libraries with VHDL-93 (default).
+--vhdl2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@code{compile-xilinx-ise.sh}
+
+Selectable libraries:
+
+@example
+--all, -a Compile all libraries, including common libraries, packages and device libraries.
+--unisim Compile the unisim primitives
+--unimacro Compile the unimacro macros
+--simprim Compile the simprim primitives
+--corelib Compile the xilinxcorelib macros
+--secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+--vhdl93 Compile selected libraries with VHDL-93 (default).
+--vhdl2008 Compile selected libraries with VHDL-2008.
+@end example
+@item
+@code{compile-xilinx-vivado.sh}
+Selectable libraries:
-@c # preload commonly known graphical characters like (c)
+@example
+--all, -a Compile all libraries, including common libraries, packages and device libraries.
+--unisim Compile the unisim primitives
+--unimacro Compile the unimacro macros
+--secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+--vhdl93 Compile selected libraries with VHDL-93 (default).
+--vhdl2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@code{compile-osvvm.sh}
+
+Selectable libraries:
+
+@example
+--all, -a Compile all.
+--osvvm Compile the OSVVM library.
+@end example
+
+@item
+@code{compile-uvvm.sh}
+
+Selectable libraries:
+
+@example
+--all, -a Compile all.
+--uvvm Compile the UVVM libraries.
+@end example
+@end itemize
+
+@node For PowerShell Scripts,,For Bash Scripts,Additional Script Parameters
+@anchor{getting for-powershell-scripts}@anchor{5a}
+@subsubsection For PowerShell Scripts:
+
+
+
+@itemize *
+
+@item
+Common parameters to all scripts:
+
+@example
+-Help Print the embedded help page(s).
+-Clean Cleanup directory before analyzing.
+-SuppressWarnings Don't show warnings. Report errors only.
+@end example
+
+@item
+@code{compile-altera.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all libraries, including common libraries, packages and device libraries.
+-Altera Compile base libraries like 'altera' and 'altera_mf'
+-Max Compile device libraries for Max CPLDs
+-Arria Compile device libraries for Arria FPGAs
+-Cyclone Compile device libraries for Cyclone FPGAs
+-Stratix Compile device libraries for Stratix FPGAs
+@end example
+
+Compile options:
+
+@example
+-VHDL93 Compile selected libraries with VHDL-93 (default).
+-VHDL2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@code{compile-xilinx-ise.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all libraries, including common libraries, packages and device libraries.
+-Unisim Compile the unisim primitives
+-Unimacro Compile the unimacro macros
+-Simprim Compile the simprim primitives
+-CoreLib Compile the xilinxcorelib macros
+-Secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+-VHDL93 Compile selected libraries with VHDL-93 (default).
+-VHDL2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@code{compile-xilinx-vivado.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all libraries, including common libraries, packages and device libraries.
+-Unisim Compile the unisim primitives
+-Unimacro Compile the unimacro macros
+-Secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+-VHDL93 Compile selected libraries with VHDL-93 (default).
+-VHDL2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@code{compile-osvvm.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all.
+-OSVVM Compile the OSVVM library.
+@end example
+
+@item
+@code{compile-uvvm.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all.
+-UVVM Compile the UVVM libraries.
+@end example
+@end itemize
+
+
+__________________________________________________________________
+
+
+@quotation
+@end quotation
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -363,129 +1281,136 @@ Moreover, ghdlsynth@footnote{https://github.com/tgingold/ghdlsynth-beta} is a co
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@node Contributing,Copyrights | Licenses,About GHDL,Top
-@anchor{contribute doc}@anchor{a}@anchor{contribute contributing}@anchor{b}@anchor{contribute intro-contributing}@anchor{c}
+@node Contributing,Copyrights | Licenses,Getting | Installing,Top
+@anchor{contribute doc}@anchor{5b}@anchor{contribute contributing}@anchor{5c}@anchor{contribute intro-contributing}@anchor{5d}
@chapter Contributing
-The first step might be to use GHDL and explore its possibilities in your own project. If you are new to VHDL, see the
-@ref{d,,Quick Start Guide} for an introduction. Furthermore, we encourage you to read @ref{e,,Invoking GHDL}, where the most
-commonly used options are explained. You can also check the complete @ref{f,,Command Reference}.
+As in many other free and open source projects, there are many areas requiring different skills where contributions to GHDL
+are welcome. The first step might be to use GHDL and explore its possibilities in your own project. If you are new to VHDL,
+see the @ref{5e,,Simulation} for an introduction. Furthermore, we encourage you to read @ref{5f,,Invoking GHDL},
+where the most commonly used options are explained. You can also check the complete @ref{60,,Additional Command Reference}.
If you are more familiar with GHDL, you might start asking yourself how it works internally. If so, you might find
-@ref{10,,Implementation of VHDL} and @ref{11,,Implementation of VITAL} interesting.
+@ref{61,,Implementation of VHDL} and @ref{62,,Implementation of VITAL} interesting.
While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation, or topics which still are
not covered. In order to improve GHDL, we welcome bug reports, suggestions, and contributions for any aspect of
-GHDL. Whether it’s a bug or an enhancement, have a look at the
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/75d23ce669cbc7993d4d057361ad0c2a3d5d5271/ghdl,,,Open issues,svg}
- and
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/e1c1423669df5839910ed47f754b67708d362d8b/ghdl,,,Closed issues,svg}
+GHDL. Whether it’s a bug or an enhancement, have a look at the
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/75d23ce669cbc7993d4d057361ad0c2a3d5d5271/ghdl,,,Open issues,svg}
+ and
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/e1c1423669df5839910ed47f754b67708d362d8b/ghdl,,,Closed issues,svg}
to see
if someone already told us about it. You might find a solution there.
+Ideas for future work, enhancements, documentation, and internship programs are shown in the GitHub wiki@footnote{https://github.com/ghdl/ghdl/wiki}.
+
If you found no information on your topic, please, report so that we are aware! You can reach us through various ways:
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
- or open a
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/dfb2204df41464917807ba7d4295bf10566f1193/new-issue-yellowgreen,,,Open new issue at GitHub,svg}
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
+ or open a
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/dfb2204df41464917807ba7d4295bf10566f1193/new-issue-yellowgreen,,,Open new issue at GitHub,svg}
.
@cartouche
-@quotation Hint
-Since the development of GHDL started fifteen years ago, multiple platforms have been used as a support for both distribution and getting feedback. However, the development is now centralized in github.
-@end quotation
-@end cartouche
+@quotation Hint
-@cartouche
-@quotation Tip
+@itemize *
+
+@item
+Since the development of GHDL started in 2002, multiple platforms have been used as a support for both distribution
+and getting feedback. However, the development is now centralized in github.com/ghdl@footnote{https://github.com/ghdl}.
+
+@item
How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questions.html}
+@end itemize
@end quotation
@end cartouche
@menu
-* Reporting bugs::
-* Requesting enhancements::
-* Improving the documentation::
-* Fork@comma{} modify and pull-request: Fork modify and pull-request.
-* Related interesting projects::
+* Reporting bugs::
+* Requesting enhancements::
+* Improving the documentation::
+* Fork@comma{} modify and pull-request: Fork modify and pull-request.
+* Related interesting projects::
@end menu
@node Reporting bugs,Requesting enhancements,,Contributing
-@anchor{contribute id1}@anchor{12}@anchor{contribute reporting-bugs}@anchor{13}
+@anchor{contribute id1}@anchor{63}@anchor{contribute reporting-bugs}@anchor{64}
@section Reporting bugs
-@cartouche
-@quotation Tip
@itemize *
-@item
+@item
If the compiler crashes, this is a bug. Reliable tools never crash.
-@item
-If the compiler emits an error message for a perfectly valid input or does not emit an error message for an invalid input, this may be a bug.
+@item
+If the compiler emits an error message for a perfectly valid input or does not emit an error message for an invalid
+input, this may be a bug.
-@item
-If the executable created from your VHDL sources crashes, this may be a bug at runtime or the code itself may be wrong. However, since VHDL has a notion of pointers, an erroneous VHDL program (using invalid pointers for example) may crash.
+@item
+If the executable created from your VHDL sources crashes, this may be a bug at runtime or the code itself may be
+wrong. Since VHDL has a notion of pointers, an erroneous VHDL program (using invalid pointers for example) may crash.
-@item
-If a compiler message is not clear enough, please tell us. The error messages can be improved, but we do not have enough experience with them.
+@item
+If a compiler message is not clear enough, please tell us. The error messages can be improved, but we do not have
+enough experience with them.
+
+@item
+It is suggested to test synthesis features with @ref{65,,--synth}, before processing the design with @ref{66,,Yosys plugin}.
@end itemize
-@end quotation
-@end cartouche
-Please, report issues of this kind through
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/bac95e4b3b8c2d7bfbc31a7ce9892272a524ef30/new-bug--report-yellowgreen,,,Open new bug report at GitHub,svg}
-, as this allows us to categorize issues into groups and
-to assign developers to them. You can track the issue’s state and see how it’s getting solved.
+Please, report issues through
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/bac95e4b3b8c2d7bfbc31a7ce9892272a524ef30/new-bug--report-yellowgreen,,,Open new bug report at GitHub,svg}
+, as this allows us to categorize issues into groups and to assign developers
+to them. You can track the state and see how it’s getting solved.
@cartouche
-@quotation Important
-As suggested in the bug report template, please elaborate a @cite{Minimal (non) Working Example} (MWE@footnote{https://en.wikipedia.org/wiki/Minimal_Working_Example}) prior to sending the report, so that the possible bug source is isolated. Should it fulfill the format requirements of issue-runner@footnote{https://github.com/1138-4EB/issue-runner}, you would be able to test your bug with the latest GHDL version. Please do so in order to ensure that the bug is not solved already.
+@quotation Important
+As suggested in the bug report template, please elaborate a @cite{Minimal (non) Working Example} (MWE@footnote{https://en.wikipedia.org/wiki/Minimal_Working_Example})
+prior to sending the report, so that the possible bug source is isolated. Should it fulfill the format requirements of
+issue-runner@footnote{https://github.com/eine/issue-runner}, you would be able to test your bug with the latest GHDL version.
+Please do so in order to ensure that the bug is not solved already.
-Also, please include enough information in the bug report, for the maintainers to reproduce the problem. The template includes:
+Also, please include enough information in the bug report, for the maintainers to reproduce the problem. The template
+includes:
@itemize *
-@item
-Operating system and version of GHDL (you can get it with @code{ghdl --version}).
-
-@item
-Whether you have built GHDL from sources (provide short SHA of the used commit) or used the binary distribution (note which release/tag).
+@item
+Operating system and version of GHDL (you can get it with @code{ghdl version} and @code{ghdl hash}).
+@item
+Whether you have built GHDL from sources (provide short SHA of the used commit) or used the binary distribution
+(note which release/tag); if you cannot compile, please report which compiler you are using and the version.
-@itemize *
+@item
+Content of the input files which comprise the MWE.
-@item
-If you cannot compile, please report which compiler you are using and the version.
-@end itemize
-
-@item
-Content of the input files which comprise the MWE
-
-@item
+@item
Description of the problem:
@itemize *
-@item
-Comment explaining whether the MWE should compile or not; if yes, whether or not is should run until the assertion.
+@item
+Comment explaining whether the MWE should compile or not; if yes, whether it should run until the assertion.
-@item
-What you expect to happen and what you actually get. If you know the LRM well enough, please specify which paragraph might not be implemented well.
+@item
+What you expect to happen and what you actually get. If you know the LRM well enough, please specify which paragraph
+might not be implemented well.
-@item
+@item
Samples of any log.
-@item
+@item
Anything else that you think would be helpful.
@end itemize
@end itemize
@@ -493,26 +1418,30 @@ Anything else that you think would be helpful.
@end cartouche
@cartouche
-@quotation Note
-If you don’t know the LRM, be aware that an issue claimed as a bug report may be rejected because there is no bug according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons with other VHDL is not a solid argument. Some of them are supported by GHDL (see @ref{14,,IEEE library pitfalls}), but any such enhancement will have very low priority.
+@quotation Note
+If you don’t know the LRM, be aware that an issue claimed as a bug report may be rejected because there is no bug
+according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
+However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons
+with other VHDL variants is not a solid argument. Some of them are supported by GHDL (see @ref{67,,IEEE library pitfalls}),
+but any such enhancement will have very low priority.
@end quotation
@end cartouche
@node Requesting enhancements,Improving the documentation,Reporting bugs,Contributing
-@anchor{contribute id2}@anchor{15}@anchor{contribute requesting-enhancements}@anchor{16}
+@anchor{contribute id2}@anchor{68}@anchor{contribute requesting-enhancements}@anchor{69}
@section Requesting enhancements
+
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/89dd2439936c60b66feb51ba1c0d6a38facef2d8/1561565e8455e49d1382462c9afb48178cccd06f,,,Open new feature request at GitHub,svg?logo=github&style=flat-square&longCache=true}
-
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
-
-All enhancements and feature requests are welcome. Please open a new issue@footnote{https://github.com/ghdl/ghdl/issues/new} to report any, so you can track the request’s status and implementation. Depending on the complexity of the request, you may want to chat on Gitter@footnote{https://gitter.im/ghdl/ghdl1}, to polish it before opening an issue.
+All enhancements and feature requests are welcome. Please open a new issue@footnote{https://github.com/ghdl/ghdl/issues/new}
+to report any, so you can track the status and implementation. Depending on the complexity of the request,
+you may want to chat on Gitter@footnote{https://gitter.im/ghdl/ghdl1}, for polishing it before opening an issue.
@node Improving the documentation,Fork modify and pull-request,Requesting enhancements,Contributing
-@anchor{contribute improving-the-documentation}@anchor{17}
+@anchor{contribute improving-the-documentation}@anchor{6a}
@section Improving the documentation
@@ -520,84 +1449,102 @@ If you found a mistake in the documentation, please send a comment. If you didn
please tell us. English is not our mother tongue, so this documentation may not be well-written.
Likewise, rewriting part of the documentation or missing content (such as examples) is a good way to improve it. Since
-it automatically is built from @cite{reStructuredText} and @cite{Markdown} sources, you can fork, modify and request the
-maintainers to pull your copy. See @ref{18,,Fork@comma{} modify and pull-request}.
+it is built automatically from @cite{reStructuredText}, you can fork, modify and push. The documentation will be shown
+in the GitHub Pages site of your fork: @code{https://USERNAME.github.io/ghdl}. When you are done, request the maintainers
+to pull your copy. See @ref{6b,,Fork@comma{} modify and pull-request}.
@node Fork modify and pull-request,Related interesting projects,Improving the documentation,Contributing
-@anchor{contribute fork-modify-and-pull-request}@anchor{19}@anchor{contribute pull-request}@anchor{18}
+@anchor{contribute fork-modify-and-pull-request}@anchor{6c}@anchor{contribute pull-request}@anchor{6b}
@section Fork, modify and pull-request
@cartouche
-@quotation Tip
+@quotation Tip
@itemize *
-@item
-Before starting any modification, you might want to have a look at
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/c3a4692a25333d57bb8c1ce54f97f15c6846ba63/ghdl,,,Open pull requests,svg}
- and
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/6497f995f9ef9e87d1fe97a236cebe38959dc915/ghdl,,,Closed pull requests,svg}
-, to check which other contributions are being made or have been made. If you observe that the modifications you are about to start might conflict with any other, please
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
- or open a
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/82b759af84cd517081b3c031dfbe444f16595fb9/f125673efc1475d3e54f355fd17e00e44587099a,,,Open new Pull Request (PR) at GitHub,svg?logo=github&style=flat-square&longCache=true}
- to coordinate.
-
-@item
-See section @ref{1a,,Directory structure} to faster find the location of the sources you need to modify, and/or to know where to place new ones.
+@item
+Before starting any modification, you might want to have a look at
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/c3a4692a25333d57bb8c1ce54f97f15c6846ba63/ghdl,,,Open pull requests,svg}
+ and
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/6497f995f9ef9e87d1fe97a236cebe38959dc915/ghdl,,,Closed pull requests,svg}
+,
+to check which other contributions are being made or have been made. If you observe that the modifications you are
+about to start might conflict with any other, please
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
+ or open a to coordinate.
+
+@item
+See section @ref{6d,,Directory structure} to faster find the location of the sources you need to modify, and/or to know
+where to place new ones.
@end itemize
@end quotation
@end cartouche
-Contributing source code/documentation via Git@footnote{https://git-scm.com/} is very easy. Although we don’t provide direct
+Contributing source code/documentation is done through git@footnote{https://git-scm.com/}. Although we don’t provide direct
write access to our repositories, the project is hosted at GitHub, which follows a fork, edit and pull-request
flow@footnote{https://help.github.com/articles/github-flow/} . That is:
-@enumerate
+@enumerate
-@item
+@item
Make a copy (fork@footnote{https://help.github.com/articles/fork-a-repo/}) of the project.
-@item
+@item
Do the changes you wish (edit, add, rename, move and/or delete).
-@item
+@item
When you think that the changes are ready to be merged, notify the maintainers by opening a Pull Request@footnote{https://help.github.com/articles/creating-a-pull-request/} (PR).
-@item
-The maintainers will review the proposed changes and will reply in the corresponding thread if any further modification is required. If so, you can keep adding commits to the same branch, and the PR will be automatically updated.
+@item
+The maintainers will review the proposed changes and will reply in the corresponding thread if any further modification
+is required. If so, you can keep adding commits to the same branch, and the PR will be automatically updated.
-@item
-Last, the maintainers will merge your branch. You will be notified, the PR will be closed, and you’ll be allowed to delete the branch, if you want.
+@item
+Last, maintainers will merge your branch. You will be notified, the PR will be closed, and you’ll be allowed to
+delete the branch, if you want.
@end enumerate
@cartouche
-@quotation Tip
+@quotation Tip
@itemize *
-@item
-It is recommended to read A successful Git branching model@footnote{http://nvie.com/posts/a-successful-git-branching-model/} for a reference on how maintainers expect to handle multiple branches. However, our actual model is not as exhaustive as explained there.
+@item
+It is recommended to read A successful Git branching model@footnote{http://nvie.com/posts/a-successful-git-branching-model/}
+for a reference on how maintainers expect to handle multiple branches. However, our actual model is not as exhaustive
+as explained there.
-@item
-Some commit messages can automatically close@footnote{https://help.github.com/articles/closing-issues-via-commit-messages/} issues. This is a very useful feature, which you are not required to use. However beware that using @cite{fix} anywhere in the commit message can have side effects. If you closed any issue unexpectedly, just reply to it (even if it’s closed) so that maintainers can check it.
+@item
+Some commit messages can automatically close@footnote{https://help.github.com/articles/closing-issues-via-commit-messages/}
+issues. This is a very useful feature, which you are not required to use. However beware that using @cite{fix} anywhere
+in the commit message can have side effects. If you closed any issue unexpectedly, just reply to it (even if it’s
+closed) so that maintainers can check it.
-@item
-It is recommended to read @ref{1b,,Coding Style} before contributing modifications to Ada sources.
+@item
+It is recommended to read @ref{6e,,Coding Style} before contributing modifications to Ada sources.
@end itemize
@end quotation
@end cartouche
@node Related interesting projects,,Fork modify and pull-request,Contributing
-@anchor{contribute related-interesting-projects}@anchor{1c}
+@anchor{contribute related-interesting-projects}@anchor{6f}
@section Related interesting projects
-If you have an interesting project, please send us feedback or get listed on our @ref{8,,Who uses GHDL?} page.
+If you have an interesting project, please send us feedback or get listed on our @ref{45,,Who uses GHDL?} page.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
-@c # preload commonly known graphical characters like (c)
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -606,98 +1553,86 @@ If you have an interesting project, please send us feedback or get listed on our
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
@node Copyrights | Licenses,Quick Start Guide,Contributing,Top
-@anchor{licenses doc}@anchor{1d}@anchor{licenses copyrights-licenses}@anchor{1e}@anchor{licenses intro-copyrights}@anchor{1f}
+@anchor{licenses doc}@anchor{70}@anchor{licenses copyrights-licenses}@anchor{71}@anchor{licenses intro-copyrights}@anchor{72}
@chapter Copyrights | Licenses
@itemize -
-@item
-The GHDL front-end package @code{std.textio}, and the runtime library @code{grt} are given under @ref{20,,GNU GPLv2}.
+@item
+The GHDL front-end package @code{std.textio}, and the runtime library @code{GRT} are given under @ref{73,,GNU GPLv2}.
-@item
-The documentation is given under @ref{21,,CC-BY-SA}.
+@item
+The documentation is given under @ref{74,,CC-BY-SA}.
@end itemize
@cartouche
-@quotation Warning
-As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without the VHDL sources. To my mind, this is not a real restriction, since it is pointless to distribute VHDL executable. Please, send a comment (@ref{16,,Requesting enhancements}) if you don’t like this policy.
+@quotation Warning
+As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without allowing
+access to the VHDL sources. Please, send a comment (@ref{69,,Requesting enhancements}) if you don’t like this policy.
@end quotation
@end cartouche
-
-@itemize -
-
-@item
The following packages are copyrighted by third parties (see corresponding sources for more information):
-@quotation
-
-@itemize -
+@itemize *
-@item
-These from library @code{ieee} are copyrighted by Institute of Electrical and Electronics Engineers (IEEE)@footnote{https://www.ieee.org} :
+@item
+These from library @code{ieee} are copyrighted by Institute of Electrical and Electronics Engineers (IEEE)@footnote{https://www.ieee.org}:
-@quotation
+@itemize *
-@itemize -
+@item
+Since December 2019, standard and IEEE libraries are distributed under Apache 2.0 and available at
+opensource.ieee.org/vasg/Packages@footnote{https://opensource.ieee.org/vasg/Packages}. GHDL includes backports of those
+libraries for earlier revisions of the standard.
-@item
-@code{numeric_bit} and @code{numeric_std}: the source files may be distributed without change, except as permitted by the standard; these may not be sold or distributed for profit. [see also IEEE 1076.3@footnote{http://ieeexplore.ieee.org/document/592543/} ]
-
-@item
-@code{std_logic_1164}, @code{Math_Real} and @code{Math_Complex}
-
-@item
-@code{VITAL_Primitives}, @code{VITAL_Timing} and @code{VITAL_Memory} [see also IEEE 1076.4@footnote{http://ieeexplore.ieee.org/document/954750/} ]
+@item
+@code{VITAL_Primitives}, @code{VITAL_Timing} and @code{VITAL_Memory} are not include in the open source distribution of IEEE (see IEEE 1076.4@footnote{http://ieeexplore.ieee.org/document/954750/}).
@end itemize
-@end quotation
-
-@item
-The following sources may be used and distributed without restriction, provided that the copyright statements are not removed from the files and that any derivative work contains the copyright notice.
-@quotation
+@item
+The following sources may be used and distributed without restriction, provided that the copyright statements are not
+removed from the files and that any derivative work contains the copyright notice.
-@itemize -
+@itemize *
-@item
-@code{synopsys} directory: @code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned} and @code{std_logic_textio} are copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/}
+@item
+@code{synopsys} directory: @code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned} and @code{std_logic_textio} are
+copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/}
-@item
-@code{mentor} directory: @code{std_logic_arith} is copyrighted by Mentor Graphics@footnote{https://www.mentor.com}
+@item
+@code{mentor} directory: @code{std_logic_arith} is copyrighted by Mentor Graphics@footnote{https://www.mentor.com}.
@end itemize
-@end quotation
-@end itemize
-@end quotation
@end itemize
@menu
-* GNU GPLv2::
-* CC-BY-SA::
-* List of Contributors::
+* GNU GPLv2::
+* CC-BY-SA::
+* List of Contributors::
@end menu
@node GNU GPLv2,CC-BY-SA,,Copyrights | Licenses
-@anchor{licenses gnu-gplv2}@anchor{22}@anchor{licenses lic-gplv2}@anchor{20}
+@anchor{licenses gnu-gplv2}@anchor{75}@anchor{licenses lic-gplv2}@anchor{73}
@section GNU GPLv2
-GHDL is copyright © 2002 - 2019 Tristan Gingold.
+GHDL is copyright © 2002 - 2021 Tristan Gingold.
This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.
This program is distributed in the hope that it will be useful, but @strong{WITHOUT ANY WARRANTY}; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License@footnote{https://www.gnu.org/licenses/old-licenses/gpl-2.0.html} for more details.
@node CC-BY-SA,List of Contributors,GNU GPLv2,Copyrights | Licenses
-@anchor{licenses cc-by-sa}@anchor{23}@anchor{licenses lic-cc-by-sa}@anchor{21}
+@anchor{licenses cc-by-sa}@anchor{76}@anchor{licenses lic-cc-by-sa}@anchor{74}
@section CC-BY-SA
@@ -706,28 +1641,28 @@ This is a free documentation; you can redistribute it and/or modify it under the
@itemize -
-@item
+@item
@strong{Attribution}: you must provide the name of the creator and attribution parties (more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Detailed_attribution_comparison_chart}), a copyright notice, a license notice, a disclaimer notice, a link to the material, a link to the license and indicate if changes were made (see marking guide@footnote{https://wiki.creativecommons.org/wiki/Best_practices_for_attribution#This_is_a_good_attribution_for_material_you_modified_slightly} and more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Modifications_and_adaptations_must_be_marked_as_such}). You may do so in any reasonable manner, but not in any way that suggests we endorse you or your use.
-@item
+@item
@strong{ShareAlike}: if you remix, transform, or build upon the material, you must distribute your contributions under the same license as the original.
-@item
+@item
@strong{No additional restrictions}: you may not apply legal terms or technological measures that legally restrict others from doing anything the license permits.
@end itemize
See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/4.0/legalcode.txt} for more details.
@node List of Contributors,,CC-BY-SA,Copyrights | Licenses
-@anchor{licenses lic-contributors}@anchor{24}@anchor{licenses list-of-contributors}@anchor{25}
+@anchor{licenses lic-contributors}@anchor{77}@anchor{licenses list-of-contributors}@anchor{78}
@section List of Contributors
-@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
@headitem
-Contributor @footnote{
+Contributor @footnote{@w{(1)}
In alphabetical order
}
@@ -769,7 +1704,7 @@ GCC 4.8.2 update, OSVVM port, some bugfixes
@item
-Gingold, Tristan @footnote{
+Gingold, Tristan @footnote{@w{(2)}
Maintainer
}
@@ -811,11 +1746,21 @@ Windows compile scripts, vendor library pre-compile scripts (win+lin), building
@item
-Martinez-Corral, Unai
+Meißner, Torsten
@tab
-Docker builds, Travis-CI & Docker, adapt/fix RTD theme
+Property Specification Language (PSL): docs, tests, synthesis support
+
+@item
+
+Martinez-Corral, Unai @footnote{@w{(2)}
+Maintainer
+}
+
+@tab
+
+ghdl-cosim, setup-ghdl-ci, docs, docker/CI, MSYS2 packaging, building/testing on ARM, termux builds
@item
@@ -829,8 +1774,8 @@ Debian packaging
-Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at
-@image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/6f8cd1a5e6840820b92af0cbdd95adc63019ebd5/ghdl,,,Contributors,svg}
+Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at
+@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/6f8cd1a5e6840820b92af0cbdd95adc63019ebd5/ghdl,,,Contributors,svg}
With apologies to anyone who ought to be either on this table or in the GitHub contributor list, but isn’t. Thanks also to all those who have reported bugs and support issues, and often patches and testcases to either the late gna! website or sourceforge.net/p/ghdl-updates/tickets@footnote{https://sourceforge.net/p/ghdl-updates/tickets/}.
@@ -839,7 +1784,16 @@ With apologies to anyone who ought to be either on this table or in the GitHub c
__________________________________________________________________
-@c # preload commonly known graphical characters like (c)
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -848,30 +1802,144 @@ __________________________________________________________________
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
@node Quick Start Guide,Invoking GHDL,Copyrights | Licenses,Top
-@anchor{using/QuickStartGuide doc}@anchor{26}@anchor{using/QuickStartGuide quick-start-guide}@anchor{27}@anchor{using/QuickStartGuide using-quickstart}@anchor{d}
+@anchor{quick_start/index doc}@anchor{79}@anchor{quick_start/index quick-start-guide}@anchor{7a}@anchor{quick_start/index using-quickstart}@anchor{7b}
@chapter Quick Start Guide
-In this chapter, you will learn how to use @cite{GHDL} by working on a few examples.
+Since this is the user and reference manual for @cite{GHDL}, it does not contain an introduction to @cite{VHDL}. Thus, the reader
+should have at least a basic knowledge of @cite{VHDL}. A good knowledge of @cite{VHDL} language reference manual (usually called LRM)
+is a plus. Nevertheless, multiple explained examples are provided, in the hope that they are useful for users to learn
+about both @cite{GHDL} and @cite{VHDL}.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@menu
+* Simulation::
+* Python Interfaces::
+
+@end menu
+
+@node Simulation,Python Interfaces,,Quick Start Guide
+@anchor{quick_start/simulation/index doc}@anchor{7c}@anchor{quick_start/simulation/index simulation}@anchor{7d}@anchor{quick_start/simulation/index using-quickstart-simulation}@anchor{5e}
+@section Simulation
+
+
+As explained in @ref{42,,What is GHDL?}, @cite{GHDL} is a compiler which translates @cite{VHDL}
+files to machine code. Hence, the regular workflow is composed of three steps:
+
+
+@itemize *
+
+@item
+@ref{7e,,Analysis [-a]}: convert design units (@cite{VHDL} sources) to an internal
+representation.
+
+@item
+@ref{7f,,Elaboration [-e]}: generate executable machine code for a target module
+(top-level entity).
+
+@item
+@ref{80,,Run [-r]}: execute the design to test the behaviour, generate
+output/waveforms, etc.
+@end itemize
+
+The following tips might be useful:
+
+
+@itemize *
+
+@item
+Don’t forget to select the version of the VHDL standard you want to use (see
+@ref{81,,VHDL standards}). The default is @ref{82,,--std=93c}. Use
+@ref{82,,--std=08} for VHDL-2008 (albeit not fully implemented).
+
+
+@itemize *
+
+@item
+Use @ref{83,,--ieee=synopsys} if your design depends on a non-standard
+implementation of the IEEE library.
+
+@item
+Use @ref{84,,-fexplicit} and @ref{85,,-frelaxed-rules} if needed. For instance,
+if you would like to use VHDL 2008 and also use shared variables with an
+ordinary type (deprecated in VHDL 2000), you can use @code{--std=08 -frelaxed-rules}.
+@end itemize
+
+@item
+Use @ref{86,,--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
+To use files analyzed to a different directory, give the path
+to the @code{LIB_NAME} library using @ref{87,,-P/path/to/name/directory/}.
+
+@item
+Use the same options for analysis and elaboration. E.g., first analyse with
+@code{ghdl -a --std=08 --work=mylib myfile.vhdl}; and then elaborate and run with
+@code{ghdl --elab-run --std=08 top}.
+@end itemize
+
+Due to the fact that @cite{VHDL} is processed as a general purpose language
+(instead of an @cite{HDL}), all the language features are to be supported. I.e., @cite{VHDL}
+sources do not need to be limited to the synthesisable subset. However, distinction
+between synthesisable and non-synthesisable (simulation-only) subsets is often
+misleading for users who are new to the language. Different examples are provided,
+in the hope of helping understand the different use cases:
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
@menu
-* The ‘Hello world’ program::
-* The heartbeat program::
-* A full adder::
-* Starting with a design::
-* Starting with your design::
+* Hello world program::
+* Heartbeat module::
+* Full adder module and testbench::
+* Working with non-trivial designs::
@end menu
-@node The ‘Hello world’ program,The heartbeat program,,Quick Start Guide
-@anchor{using/QuickStartGuide the-hello-world-program}@anchor{28}
-@section The @cite{‘Hello world’} program
+@node Hello world program,Heartbeat module,,Simulation
+@anchor{quick_start/simulation/hello/index doc}@anchor{88}@anchor{quick_start/simulation/hello/index hello-world-program}@anchor{89}@anchor{quick_start/simulation/hello/index quickstart-hello}@anchor{8a}
+@subsection @cite{Hello world} program
-To illustrate the general purpose of @cite{VHDL}, here is a commented @cite{‘Hello world’} program which is saved in a file named @code{hello.vhdl}:
+To illustrate the general purpose of @cite{VHDL}, the following block is a commented @cite{Hello world} program which is saved in
+a file named @code{hello.vhdl}:
@example
-- Hello world program
@@ -894,15 +1962,31 @@ end behaviour;
@end example
@cartouche
-@quotation Tip
+@quotation Tip
@itemize *
-@item
-Both @code{.vhdl} and @code{.vhd} extensions are used for VHDL source files, while @code{.v} is used for Verilog.
+@item
+Both @code{.vhdl} and @code{.vhd} extensions are used for @cite{VHDL} source files, while @code{.v} is used for Verilog.
-@item
-Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used. However, if you do, the latter should be used. The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default. However, GHDL has a relaxing option, @ref{29,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in comments.
+@quotation
+
+
+@itemize *
+
+@item
+Since, extension @code{.vhd} is also interpreted as a Virtual Hard Disk@footnote{https://en.wikipedia.org/wiki/VHD_(file_format)}
+file format, some users prefer @code{.vhdl}, to avoid ambiguity. This is the case with @cite{GHDL}’s codebase. However, in order
+to maintain backward-compatibility@footnote{https://en.wikipedia.org/wiki/8.3_filename} with legacy DOS systems,
+other users prefer @code{.vhd}.
+@end itemize
+@end quotation
+
+@item
+Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used. However, if you do, the
+latter should be used. The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default.
+However, GHDL has a relaxing option, @ref{8b,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in
+comments.
@end itemize
@end quotation
@end cartouche
@@ -910,14 +1994,18 @@ Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} enc
@itemize -
-@item
-First, you have to compile the file; this is called @cite{analysis} of a design file in @cite{VHDL} terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}. This command creates or updates a file @code{work-obj93.cf}, which describes the library @code{work}.
+@item
+First, you have to compile the file; this is called @ref{7e,,analysis} of a design file in @cite{VHDL}
+terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}. This command creates or updates a file @code{work-obj93.cf}, which
+describes the library @code{work}.
-@item
-Then, run @code{ghdl -e hello_world} in the @cite{shell}. Option @ref{2a,,-e} means @emph{elaborate}, which is used to build a design, with the @code{hello_world} entity at the top of the hierarchy.
+@item
+Then, run @code{ghdl -e hello_world} in the @cite{shell}. Command @ref{8c,,-e} means @ref{7f,,elaborate},
+which is used to build a design, with the @code{hello_world} entity at the top of the hierarchy.
-@item
-Last, you can directly launch the simulation running @code{ghdl -r hello_world} in the @cite{shell}. The result of the simulation will be shown on screen:
+@item
+Last, you can directly launch the simulation @ref{80,,running} @code{ghdl -r hello_world} in the @cite{shell}. The
+result of the simulation will be shown on screen:
@end itemize
@example
@@ -925,34 +2013,63 @@ Hello world!
@end example
@cartouche
-@quotation Hint
+@quotation Hint
If a GCC/LLVM variant of @cite{GHDL} is used:
@itemize *
-@item
-@cite{Analysis} generates a file, @code{hello.o}, which is the object file corresponding to your @cite{VHDL} program. This is not created with mcode.
+@item
+@ref{7e,,Analysis} generates a file, @code{hello.o}, which is the object file corresponding to
+your @cite{VHDL} program. This is not created with @ref{4b,,mcode}. These kind of object files can be
+compiled into foreign programs (see Linking GHDL object files to Ada/C@footnote{https://ghdl.github.io/ghdl-cosim/vhpidirect/linking.html#linking-with-ada}).
-@item
-The elaboration step is mandatory after running the analysis and prior to launching the simulation. This will generate an executable binary named @code{hello_world}.
+@item
+The @ref{7f,,elaboration} step is mandatory after running the analysis and prior to launching the
+simulation. This will generate an executable binary named @code{hello_world}.
-@item
-As a result, @ref{2b,,-r} is just a passthrough to the binary generated in the @cite{elaboration}. Therefore, the executable can be run directly, @code{./hello_world}. See @ref{2b,,-r} for more informartion.
+@item
+As a result, @ref{8d,,-r} is just a passthrough to the binary generated in the @cite{elaboration}. Therefore, the
+executable can be run directly: @code{./hello_world}. See @ref{8d,,-r} for more informartion.
@end itemize
@end quotation
@end cartouche
@cartouche
-@quotation Hint
-@ref{2a,,-e} can be bypassed with mcode, since @ref{2b,,-r} actually elaborates the design and saves it on memory before running the simulation. But you can still use it to check for some elaboration problems.
+@quotation Hint
+@ref{8c,,-e} can be bypassed with @ref{4b,,mcode}, since @ref{8d,,-r} actually elaborates the design and saves
+it on memory before running the simulation. But you can still use it to check for some elaboration problems.
@end quotation
@end cartouche
-@node The heartbeat program,A full adder,The ‘Hello world’ program,Quick Start Guide
-@anchor{using/QuickStartGuide the-heartbeat-program}@anchor{2c}
-@section The @cite{heartbeat} program
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Heartbeat module,Full adder module and testbench,Hello world program,Simulation
+@anchor{quick_start/simulation/heartbeat/index doc}@anchor{8e}@anchor{quick_start/simulation/heartbeat/index heartbeat-module}@anchor{8f}@anchor{quick_start/simulation/heartbeat/index quickstart-heartbeat}@anchor{90}
+@subsection @cite{Heartbeat} module
+
+Although @ref{8a,,Hello world} illustrates that @cite{VHDL} is supported as a general purpose language, the main use case
+of @cite{GHDL} is to simulate hardware descriptions. The following block, which is saved in a file named
+@code{heartbeat.vhdl}, is an example of how to generate a 100 MHz clock signal with non-synthesisable VHDL:
@example
library ieee;
@@ -977,12 +2094,64 @@ begin
end behaviour;
@end example
-@node A full adder,Starting with a design,The heartbeat program,Quick Start Guide
-@anchor{using/QuickStartGuide a-full-adder}@anchor{2d}
-@section A full adder
+It can be @ref{7e,,analysed}, @ref{7f,,elaborated} and @ref{80,,run}, as you already know:
+
+@example
+ghdl -a heartbeat.vhdl
+ghdl -e heartbeat
+ghdl -r heartbeat
+@end example
+
+However, execution of the design does not terminate. At the same time, no output is shown on screen. This is because,
+traditionally, hardware designs are continuously running devices which do not have a screen where to print. In this
+context, inspection and verification of the behaviour is done through waveforms@footnote{https://en.wikipedia.org/wiki/Waveform_viewer},
+which is supported by @cite{GHDL} (see @ref{91,,Export waveforms}). You can use either @ref{92,,--wave}, @ref{93,,--vcd},
+@ref{94,,--vcdgz} or @ref{95,,--fst} to save the signals of the simulation to a file. Then, terminate the execution
+(@code{C-c}) and you can inspect the wave with a viewer, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}. As
+explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave @emph{‘relies on a post-mortem approach
+through the use of dumpfiles’}. Therefore, you should first simulate your design and dump a waveform file, say GHW:
+
+@example
+ghdl -r heartbeat --wave=wave.ghw
+@end example
+
+Then, you can view the dump:
+
+@example
+gtkwave wave.ghw
+@end example
+
+Of course, manually terminating the simulation is for illustration purposes only. In @ref{96,,Full adder} and
+@ref{97,,Working with non-trivial designs}, you will see how to write a testbench to terminate the simulation programmatically.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-VHDL is generally used for hardware design. This example starts with a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder} described in a file named @code{adder.vhdl}:
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Full adder module and testbench,Working with non-trivial designs,Heartbeat module,Simulation
+@anchor{quick_start/simulation/adder/index doc}@anchor{98}@anchor{quick_start/simulation/adder/index full-adder-module-and-testbench}@anchor{99}@anchor{quick_start/simulation/adder/index quickstart-adder}@anchor{96}
+@subsection @cite{Full adder} module and testbench
+
+
+Unlike @ref{90,,Heartbeat}, the target hardware design in this example is written using the
+synthesisable subset of @cite{VHDL}. It is a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder}
+described in a file named @code{adder.vhdl}:
@example
entity adder is
@@ -1001,7 +2170,12 @@ begin
end rtl;
@end example
-You can analyze this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder} design. But this is useless, since nothing externally visible will happen. In order to check this full adder, a @emph{testbench} has to be run. This testbench is very simple, since the adder is also simple: it checks exhaustively all inputs. Note that only the behaviour is tested, timing constraints are not checked. A file named @code{adder_tb.vhdl} contains the testbench for the adder:
+You can @ref{7e,,analyse} this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder}
+design. But this is useless, since nothing externally visible will happen. In order to check this full adder, a
+@emph{testbench} has to be run. The @emph{testbench} is a description of how to generate inputs and how to check the
+outputs of the Unit Under Test (UUT). This one is very simple, since the adder is also simple: it checks exhaustively
+all inputs. Note that only the behaviour is tested, timing constraints are not checked. A file named
+@code{adder_tb.vhdl} contains the testbench for the adder:
@example
-- A testbench has no ports.
@@ -1019,8 +2193,7 @@ architecture behav of adder_tb is
signal i0, i1, ci, s, co : bit;
begin
-- Component instantiation.
- adder_0: adder port map (i0 => i0, i1 => i1, ci => ci,
- s => s, co => co);
+ adder_0: adder port map (i0 => i0, i1 => i1, ci => ci, s => s, co => co);
-- This process does the real job.
process
@@ -1060,46 +2233,73 @@ begin
-- Wait forever; this will finish the simulation.
wait;
end process;
+
end behav;
@end example
-As usual, you should analyze the design, @code{ghdl -a adder_tb.vhdl}.
+As usual, you should analyze the file, @code{ghdl -a adder_tb.vhdl}.
@cartouche
-@quotation Hint
-Then, if required, elaborate the testbench: @code{ghdl -e adder_tb}. You do not need to specify which object files are required, since GHDL knows them and automatically adds them.
+@quotation Hint
+Then, if required, @ref{7f,,elaborate} the testbench: @code{ghdl -e adder_tb}. You do not need to
+specify which object files are required, since @cite{GHDL} knows them and automatically adds them.
@end quotation
@end cartouche
-Now, it is time to run the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
+Now, it is time to @ref{80,,run} the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
@example
adder_tb.vhdl:52:7:(assertion note): end of test
@end example
-If your design is rather complex, you’d like to inspect signals. Signal values can be dumped using multiple formats (see section @ref{2e,,Export waveforms} for more information). The resulting file can be read with a wave viewer such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
+If your design is rather complex, you’d like to inspect signals as explained in @ref{90,,Heartbeat}.
-As explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave @emph{‘relies on a post-mortem approach through the use of dumpfiles’}. Therefore, you should first simulate your design and dump a waveform file, say VCD: @code{ghdl -r adder_tb --vcd=adder.vcd}. Then, you can view the dump: @code{gtkwave adder.vcd}.
+See section @ref{9a,,Simulation options}, for more details on other runtime options.
-See section @ref{2f,,Simulation options}, for more details on other runtime options.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@node Starting with a design,Starting with your design,A full adder,Quick Start Guide
-@anchor{using/QuickStartGuide starting-with-a-design}@anchor{30}
-@section Starting with a design
+@c This data file has been placed in the public domain.
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-Unless you are only studying VHDL, you will work with larger designs than the ones of the previous examples. Let’s see how to analyze and run a bigger design, such as the DLX model suite written by Peter Ashenden which is distributed under the terms of the GNU General Public License. A copy is kept on ghdl.free.fr/dlx.tar.gz@footnote{http://ghdl.free.fr/dlx.tar.gz} .
+@c # define a hard line break for HTML
+
+@node Working with non-trivial designs,,Full adder module and testbench,Simulation
+@anchor{quick_start/simulation/DLXModelSuite doc}@anchor{9b}@anchor{quick_start/simulation/DLXModelSuite quickstart-dlx}@anchor{97}@anchor{quick_start/simulation/DLXModelSuite working-with-non-trivial-designs}@anchor{9c}
+@subsection Working with non-trivial designs
+
+
+Designs are usually more complex than the previous examples. Unless you are only studying VHDL, you will work with
+larger designs. Let’s see how to analyse a design such as the DLX model suite written by Peter Ashenden, which is
+distributed under the terms of the GNU General Public License. A copy is kept at ghdl.free.fr/dlx.tar.gz@footnote{http://ghdl.free.fr/dlx.tar.gz} .
@itemize -
-@item
+@item
First, untar the sources: @code{tar zxvf dlx.tar.gz}.
@end itemize
@cartouche
-@quotation Hint
-In order not to pollute the sources with the library, it is a good idea to create a @code{work/} subdirectory for the @cite{WORK} library. To any GHDL commands, we will add the @code{--workdir=work} option, so that all files generated by the compiler (except the executable) will be placed in this directory.
+@quotation Hint
+@quotation
+
+In order not to pollute the sources with the artifacts (@cite{WORK} library), it is a good idea to create a
+@code{work/} subdirectory. To any GHDL commands, we will add the @ref{9d,,--workdir=work} option, so
+that all files generated by the compiler (except the executable) will be placed in this directory.
+@end quotation
@example
$ cd dlx
@@ -1111,32 +2311,43 @@ $ mkdir work
@itemize *
-@item
-Then, we will run the @code{dlx_test_behaviour} design. We need to analyze all the design units for the design hierarchy, in the correct order. GHDL provides an easy way to do this, by importing the sources, @code{ghdl -i --workdir=work *.vhdl}.
+@item
+Then, we will run the @code{dlx_test_behaviour} design. We need to analyse all the design units for the design
+hierarchy, in the correct order. GHDL provides an easy way to do this, by @ref{9e,,importing} the
+sources: @code{ghdl -i --workdir=work *.vhdl}.
-@item
-GHDL knows all the design units of the DLX, but none of them has been analyzed. Run the make option, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyzes and elaborates a design. This creates many files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current directory.
+@item
+GHDL knows all the design units of the DLX, but none of them has been analysed. Run the @ref{9f,,make}
+command, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyses and elaborates a design. This creates many
+files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current
+directory.
@end itemize
@cartouche
-@quotation Hint
-The simulation needs to have a DLX program contained in the file @code{dlx.out}. This memory image will be loaded in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}.
+@quotation Hint
+The simulation needs to have a DLX program contained in the file @code{dlx.out}. This memory image will be loaded
+in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}.
@end quotation
@end cartouche
@itemize *
-@item
-Now, you can run the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench monitors the bus and displays each instruction executed. It finishes with an assertion of severity level note:
+@item
+Now, you can @ref{80,,run} the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench
+monitors the bus and displays each executed instruction. It finishes with an assertion of severity level note:
@example
dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
encountered, execution halted
@end example
-@item
-Lastly, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This behavior prevents you from running the test bench in batch mode. However, you may force the simulator to stop when an assertion above or equal a certain severity level occurs. To do so, call run with this option instead: @code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With this option, the program stops just after the previous message:
+@item
+Last, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This
+behavior prevents you from running the testbench in batch mode. However, you may force the simulator to stop when an
+assertion above or equal a certain severity level occurs. To do so, call run with this option instead:
+@code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With @ref{a0,,--assert-level}, the program stops
+just after the previous message:
@example
dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
@@ -1146,67 +2357,176 @@ error: assertion failed
@end itemize
@cartouche
-@quotation Tip
+@quotation Tip
If you want to make room on your hard drive, you can either:
@itemize *
-@item
-Clean the design library with the GHDL command @code{ghdl --clean --workdir=work}. This removes the executable and all the object files. If you want to rebuild the design at this point, just do the make command as shown above.
+@item
+@ref{a1,,Clean} the design library with @code{ghdl --clean --workdir=work}. This removes the executable
+and all the object files. If you want to rebuild the design at this point, just do the make command as shown above.
-@item
-Remove the design library with the GHDL command @code{ghdl --remove --workdir=work}. This removes the executable, all the object files and the library file. If you want to rebuild the design, you have to import the sources again and make the design.
+@item
+@ref{a2,,Remove} the design library with @code{ghdl --remove --workdir=work}. This removes the
+executable, all the object files and the library file. If you want to rebuild the design, you have to import the
+sources again and make the design.
-@item
+@item
Remove the @code{work/} directory: @code{rm -rf work}. Only the executable is kept. If you want to rebuild the design, create the @code{work/} directory, import the sources, and make the design.
@end itemize
@end quotation
@end cartouche
@cartouche
-@quotation Warning
-Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @code{--ieee=synopsys -fexplicit}. See section @ref{14,,IEEE library pitfalls}, for more details.
+@quotation Warning
+Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @ref{83,,--ieee=synopsys}, @ref{84,,-fexplicit}, etc. See section @ref{67,,IEEE library pitfalls}, for more details.
@end quotation
@end cartouche
-@node Starting with your design,,Starting with a design,Quick Start Guide
-@anchor{using/QuickStartGuide starting-with-your-design}@anchor{31}
-@section Starting with your design
+@cartouche
+@quotation Tip
+See Learning VHDL with GHDL@footnote{https://github.com/ghdl/ghdl/issues/1291}.
+@end quotation
+@end cartouche
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-Usually your design is more complex than the previous ones. The main
-tips are:
+@c This data file has been placed in the public domain.
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@itemize *
+@c This data file has been placed in the public domain.
-@item
-Don’t forget to select the VHDL standard you want to use. The
-default is @code{--std=93c} which means VHDL-93 with some relaxed
-rules. Use @code{--std=08} for VHDL-2008 (albeit not fully
-implemented). All the units must be analyzed with the same standard.
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@item
-Use @code{--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
-If you analyze other files from a different directory, give the path
-of the @code{LIB_NAME} library using @code{-P/path/to/name/directory/}.
+@c # define a hard line break for HTML
-@item
-Use @code{--ieee=synopsys} if your design depends on the non-standard
-ieee library.
+@node Python Interfaces,,Simulation,Quick Start Guide
+@anchor{quick_start/python/index doc}@anchor{a3}@anchor{quick_start/python/index python-interfaces}@anchor{a4}@anchor{quick_start/python/index using-quickstart-python}@anchor{a5}
+@section Python Interfaces
-@item
-Use @code{-fexplicit} if needed.
-@item
-Use the same options for elaboration.
-@end itemize
+Currently, pyGHDL is not distributed through PyPI. Therefore, users need to install it from the git repository. However, the
+version of the sources must be compatible with the installed version of GHDL (and the shared library @code{libghdl}).
+Installing from @code{master} is discouraged, because it might contain changes to the internal AST. Instead, @code{ghdl version hash}
+allows getting the commit hash of the version the installed binary was built from. Since @code{pip} allows installing packages
+by providing the URL to the git repo, this is the recommended installation procedure:
+
+@example
+pip install git+https://github.com/ghdl/ghdl.git@@$(ghdl version hash)
+@end example
+
+@menu
+* Language Server::
+
+@end menu
+
+@node Language Server,,,Python Interfaces
+@anchor{quick_start/python/index cmdref}@anchor{a6}@anchor{quick_start/python/index language-server}@anchor{a7}
+@subsection Language Server
+
+
+When installed through @code{pip}, pyGHDL provides executable entrypoints registered in the search PATH, such as @code{ghdl-ls}.
+
+@c #
+@c This files requires a Python module called 'AutoProgram' to be located in the
+@c 'doc' root folder. It expects a variable 'parser' of type ArgumentParser.
+
+@menu
+* ghdl-ls::
+
+@end menu
+
+@node ghdl-ls,,,Language Server
+@anchor{quick_start/python/index cmdref-ghdlls}@anchor{a8}@anchor{quick_start/python/index ghdl-ls}@anchor{a9}
+@subsubsection ghdl-ls
+
+
+VHDL Language Protocol Server. Find info about clients in ghdl/ghdl-language-server@footnote{https://github.com/ghdl/ghdl-language-server}.
-So, to analyze a file: @code{ghdl -a --std=08 --work=mylib myfile.vhdl}
-To elaborate and run: @code{ghdl --elab-run --std=08 top}.
+@example
+usage: ghdl-ls [-h] [--version] [--verbose] [--log-file LOG_FILE]
+ [--trace-file TRACE_FILE] [--input INPUT] [--disp-config]
+@end example
+
+@menu
+* optional arguments::
+
+@end menu
+
+@node optional arguments,,,ghdl-ls
+@anchor{quick_start/python/index optional-arguments}@anchor{aa}
+@subsubsection optional arguments
+
+
+@geindex ghdl-ls command line option; -h
+@geindex ghdl-ls command line option; --help
+@anchor{quick_start/python/index cmdoption-ghdl-ls-h}@anchor{ab}@anchor{quick_start/python/index cmdoption-ghdl-ls-help}@anchor{ac}
+@deffn {Option} @w{-}h, @w{-}@w{-}help
+
+show this help message and exit
+@end deffn
+
+@geindex ghdl-ls command line option; --version
+@geindex ghdl-ls command line option; -V
+@anchor{quick_start/python/index cmdoption-ghdl-ls-version}@anchor{ad}@anchor{quick_start/python/index cmdoption-ghdl-ls-V}@anchor{ae}@anchor{quick_start/python/index cmdoption-ghdl-ls-v}@anchor{af}
+@deffn {Option} @w{-}@w{-}version, @w{-}V
+
+show program’s version number and exit
+@end deffn
+
+@geindex ghdl-ls command line option; --verbose
+@geindex ghdl-ls command line option; -v
+@anchor{quick_start/python/index cmdoption-ghdl-ls-verbose}@anchor{b0}@anchor{quick_start/python/index cmdoption-ghdl-ls-0}@anchor{b1}
+@deffn {Option} @w{-}@w{-}verbose, @w{-}v
+
+Show debug output
+@end deffn
+
+@geindex ghdl-ls command line option; --log-file <log_file>
+@anchor{quick_start/python/index cmdoption-ghdl-ls-log-file}@anchor{b2}
+@deffn {Option} @w{-}@w{-}log@w{-}file <log_file>
+
+Redirect logs to the given file instead of stderr
+@end deffn
-@c # preload commonly known graphical characters like (c)
+@geindex ghdl-ls command line option; --trace-file <trace_file>
+@anchor{quick_start/python/index cmdoption-ghdl-ls-trace-file}@anchor{b3}
+@deffn {Option} @w{-}@w{-}trace@w{-}file <trace_file>
+
+Save RPC data to FILE.in and FILE.out (overrides
+@geindex GHDL_LS_TRACE
+@geindex environment variable; GHDL_LS_TRACE
+@code{GHDL_LS_TRACE})
+@end deffn
+
+@geindex ghdl-ls command line option; --input <input>
+@geindex ghdl-ls command line option; -i <input>
+@anchor{quick_start/python/index cmdoption-ghdl-ls-input}@anchor{b4}@anchor{quick_start/python/index cmdoption-ghdl-ls-i}@anchor{b5}
+@deffn {Option} @w{-}@w{-}input <input>, @w{-}i <input>
+
+Read request from file
+@end deffn
+
+@geindex ghdl-ls command line option; --disp-config
+@anchor{quick_start/python/index cmdoption-ghdl-ls-disp-config}@anchor{b6}
+@deffn {Option} @w{-}@w{-}disp@w{-}config
+
+Display installation configuration and exit
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -1215,78 +2535,90 @@ To elaborate and run: @code{ghdl --elab-run --std=08 top}.
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c This data file has been placed in the public domain.
-@node Invoking GHDL,Simulation and runtime,Quick Start Guide,Top
-@anchor{using/InvokingGHDL doc}@anchor{32}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{33}@anchor{using/InvokingGHDL using-invoking}@anchor{e}
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Invoking GHDL,Simulation runtime,Quick Start Guide,Top
+@anchor{using/InvokingGHDL doc}@anchor{b7}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{b8}@anchor{using/InvokingGHDL using-invoking}@anchor{5f}
@chapter Invoking GHDL
-The form of the @code{ghdl} command is @code{ghdl command [options...]}. There are multiple available commands, but these general rules apply:
+The form of the @code{ghdl} command is @code{ghdl command [options...]}.
+There are multiple available commands, but these general rules apply:
@itemize *
-@item
-The first argument selects the command. The options are used to slightly modify the action.
+@item
+The first argument selects the command.
+The options are used to slightly modify the action.
-@item
-No option is allowed before the command. Except for the run command, no option is allowed after a filename or a unit name.
+@item
+No option is allowed before the command.
+Except for the run command, no option is allowed after a filename or a unit name.
@end itemize
@cartouche
-@quotation Hint
-If the number of options is large and the command line length is beyond the system limit, you can use a response file. An argument that starts with a @code{@@} is considered as a response file; it is replaced by arguments read from the file (separated by blanks and end of line).
+@quotation Hint
+If the number of options is large and the command line length is beyond the system limit, you can use a response file.
+An argument that starts with a @code{@@} is considered as a response file; it is replaced by arguments read from the file
+(separated by blanks and end of line).
@end quotation
@end cartouche
@cartouche
-@quotation Hint
-Only the most common commands and options are shown here. For the most advanced and experimental features see section @ref{f,,Command Reference}.
+@quotation Hint
+Only the most common commands and options are shown here. For the most advanced and experimental features see section
+@ref{60,,Additional Command Reference}.
@end quotation
@end cartouche
@cartouche
-@quotation Warning
-During analysis and elaboration GHDL may read the @code{std} and @code{ieee} files. The location of these files is based on the prefix, which is (in order of priority):
-
-@quotation
+@quotation Warning
+During analysis and elaboration GHDL may read the @code{std} and @code{ieee} files.
+The location of these files is based on the prefix, which is (in order of priority):
@itemize *
-@item
-the @code{--PREFIX} command line option
+@item
+the @ref{b9,,--PREFIX} command line option
-@item
-the
+@item
+the
@geindex GHDL_PREFIX
@geindex environment variable; GHDL_PREFIX
-@ref{34,,GHDL_PREFIX} environment variable
+@ref{ba,,GHDL_PREFIX} environment variable
-@item
-a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the @code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows.
+@item
+a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the
+@code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows.
@end itemize
-You should use the @ref{35,,--disp-config} command to display and debug installation problems.
-@end quotation
+You should use the @ref{bb,,--disp-config} command to display and debug installation problems.
@end quotation
@end cartouche
@menu
-* Design building commands::
-* Design rebuilding commands::
-* Options::
-* Warnings::
-* Diagnostics Control::
-* Library commands::
-* VPI build commands::
-* IEEE library pitfalls::
+* Design building commands::
+* Design rebuilding commands::
+* Options::
+* Warnings::
+* Diagnostics Control::
+* Library commands::
+* VPI/VHPI build commands::
+* IEEE library pitfalls::
@end menu
@node Design building commands,Design rebuilding commands,,Invoking GHDL
-@anchor{using/InvokingGHDL design-building-commands}@anchor{36}
+@anchor{using/InvokingGHDL design-building-commands}@anchor{bc}
@section Design building commands
@@ -1295,106 +2627,140 @@ The most commonly used commands of GHDL are those to analyze and elaborate a des
@geindex cmd analysis
@menu
-* Analysis [-a]::
-* Elaboration [-e]::
-* Run [-r]::
-* Elaborate and run [--elab-run]::
-* Check syntax [-s]::
-* Analyze and elaborate [-c]::
+* Analysis [-a]::
+* Elaboration [-e]::
+* Run [-r]::
+* Elaborate and run [--elab-run]::
+* Check syntax [-s]::
+* Analyze and elaborate [-c]::
@end menu
@node Analysis [-a],Elaboration [-e],,Design building commands
-@anchor{using/InvokingGHDL analysis-a}@anchor{37}
+@anchor{using/InvokingGHDL analysis-a}@anchor{bd}@anchor{using/InvokingGHDL analysis-command}@anchor{7e}
@subsection Analysis [@code{-a}]
@geindex ghdl command line option; -a <[options...] file...>
-@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{38}
+@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{be}
@deffn {Option} @w{-}a <[options...] file...>
@end deffn
-Analyzes/compiles one or more files, and creates an object file for each source file. Any argument starting with a dash is an option, the others are filenames. No options are allowed after a filename argument. GHDL analyzes each filename in the given order, and stops the analysis in case of error (remaining files are not analyzed).
+Analyzes/compiles one or more files, and creates an object file for each source file.
+Any argument starting with a dash is an option, the others are filenames.
+No options are allowed after a filename argument.
+GHDL analyzes each filename in the given order, and stops the analysis in case of error (remaining files are not
+analyzed).
-See @ref{39,,Options}, for details on the GHDL options. For example, to produce debugging information such as line numbers, use: @code{ghdl -a -g my_design.vhdl}.
+See @ref{bf,,Options}, for details on the GHDL options.
+For example, to produce debugging information such as line numbers, use: @code{ghdl -a -g my_design.vhdl}.
@geindex cmd elaboration
@node Elaboration [-e],Run [-r],Analysis [-a],Design building commands
-@anchor{using/InvokingGHDL elaboration-command}@anchor{3a}@anchor{using/InvokingGHDL elaboration-e}@anchor{3b}
+@anchor{using/InvokingGHDL elaboration-command}@anchor{7f}@anchor{using/InvokingGHDL elaboration-e}@anchor{c0}
@subsection Elaboration [@code{-e}]
-@geindex ghdl command line option; -e <[options...] primary_unit [secondary_unit]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{2a}
-@deffn {Option} @w{-}e <[options...] primary_unit [secondary_unit]>
+@geindex ghdl command line option; -e <[options...] [library.]top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{8c}
+@deffn {Option} @w{-}e <[options...] [library.]top_unit [arch]>
@end deffn
-Re-analyzes all the configurations, entities, architectures and package declarations, and creates the default configurations and the default binding indications according to the LRM rules. It also generates the list of object files required for the executable. Then, it links all these files with the runtime library.
+Re-analyzes all the configurations, entities, architectures and package declarations, and creates the default
+configurations and the default binding indications according to the LRM rules.
+It also generates the list of object files required for the executable.
+Then, it links all these files with the runtime library (GRT).
-
-@itemize *
-
-@item
-The elaboration command, @ref{2a,,-e}, must be followed by a name of either:
+The elaboration command, @ref{8c,,-e}, must be followed by a @code{top_unit} name denoting either of:
@quotation
@itemize *
-@item
+@item
a configuration unit
-@item
+@item
an entity unit
-@item
-an entity unit followed by a name of an architecture unit
+@item
+an entity unit followed by a secondary unit (the name of an architecture unit)
@end itemize
@end quotation
+
+
+@itemize *
+
+@item
+Name of the top units can be either a simple name (without dots), or the name of a logical library followed by a dot
+and a simple name.
+The latter is equivalent to using @ref{86,,--work=NAME} described in @ref{bf,,Options}:
+@code{-e <[options...] --work=library top_unit [arch]>}.
+It selects the name of the library to be used as @code{WORK}.
+See section @ref{c1,,Top entity}, for the restrictions on the root/top unit of a hierarchy.
+
+@item
+Name of the secondary units must be a simple name; they cannot contain any dot.
@end itemize
-Name of the units must be a simple name, without any dot. You can select the name of the @cite{WORK} library with the @code{--work=NAME} option, as described in @ref{39,,Options}. See section @ref{3c,,Top entity}, for the restrictions on the root design of a hierarchy.
+With regard to the artifacts:
@itemize *
-@item
-If the GCC/LLVM backend was enabled during the compilation of GHDL, the elaboration command creates an executable containing the code of the VHDL sources, the elaboration code and simulation code to execute a design hierarchy. The executable is created in the current directory and the the filename is the name of the primary unit, or for the latter case, the concatenation of the name of the primary unit, a dash, and the name of the secondary unit (or architecture). Option @code{-o} followed by a filename can override the default executable filename.
+@item
+If the GCC/LLVM backend was enabled during the compilation of GHDL, the elaboration command creates an executable
+containing the code of the VHDL sources, the elaboration code and simulation code for executing a design hierarchy.
+The executable is created in the current directory and the the filename is the name of the primary unit, or for the
+latter case, the concatenation of the name of the primary unit, a dash, and the name of the secondary unit.
+Option @code{-o} followed by a filename can override the default executable filename.
-@item
-If mcode is used, this command elaborates the design but does not generate anything. Since the run command also elaborates the design, this can be skipped.
+@item
+If mcode is used, this command elaborates the design but does not generate anything.
+Since the run command also elaborates the design, this can be skipped.
+@end itemize
@cartouche
-@quotation Warning
-This elaboration command is not a complete elaboration in terms of the VHDL standard. The actual elaboration is performed at runtime. Therefore, in order to get a complete VHDL elaboration without running the simulation, @code{ghdl --elab-run --no-run} is required.
+@quotation Warning
+This elaboration command is not a complete elaboration in terms of the VHDL standard.
+The actual elaboration is performed at runtime.
+Therefore, in order to get a complete VHDL elaboration without running the simulation, @code{ghdl --elab-run --no-run}
+is required.
+See @ref{c2,,--no-run}.
@end quotation
@end cartouche
-@end itemize
@geindex cmd run
@node Run [-r],Elaborate and run [--elab-run],Elaboration [-e],Design building commands
-@anchor{using/InvokingGHDL run-r}@anchor{3d}
+@anchor{using/InvokingGHDL run-command}@anchor{80}@anchor{using/InvokingGHDL run-r}@anchor{c3}
@subsection Run [@code{-r}]
-@geindex ghdl command line option; -r <[options...] primary_unit [secondary_unit] [simulation_options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{2b}
-@deffn {Option} @w{-}r <[options...] primary_unit [secondary_unit] [simulation_options...]>
+@geindex ghdl command line option; -r <[options...] [library.]top_unit [arch] [simulation_options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{8d}
+@deffn {Option} @w{-}r <[options...] [library.]top_unit [arch] [simulation_options...]>
@end deffn
-Runs/simulates a design. The options and arguments are the same as for the elaboration command.
+Runs/simulates a design.
+Two sets of options are accepted, both of them being separated by @code{[library.]top_unit [arch]}.
+For the first set, @code{options...}, arguments are the same as for the @ref{7f,,elaboration command}.
+For the second set, @code{simulation_options...}, arguments are defined in @ref{c4,,Simulation (runtime)}.
@itemize *
-@item
-GGC/LLVM: simply, the filename of the executable is determined and it is executed. Options are ignored. You may also directly execute the program. The executable must be in the current directory.
+@item
+GGC/LLVM: the filename of the executable is determined and it is executed.
+Elaboration options are ignored.
+You may also directly execute the program.
+The executable must be in the current directory.
-@item
-mcode: the design is elaborated and the simulation is launched. As a consequence, you must use the same options used during analysis.
+@item
+mcode: the design is elaborated and the simulation is launched.
+As a consequence, you must use the same options used during analysis.
@end itemize
This command exists for three reasons:
@@ -1402,210 +2768,281 @@ This command exists for three reasons:
@itemize *
-@item
-You are using GCC/LLVM, but you don’t need to create the executable program name.
+@item
+It works with mcode implementation, where the executable code is generated in memory.
-@item
-It is coherent with the @ref{38,,-a} and @ref{2a,,-e} commands.
+@item
+You are using GCC/LLVM, but you don’t need to create the executable program name.
-@item
-It works with mcode implementation, where the executable code is generated in memory.
+@item
+It is coherent with the @ref{be,,-a} and @ref{8c,,-e} commands.
@end itemize
-See section @ref{3e,,Simulation and runtime}, for details on options.
-
@geindex cmd elaborate and run
@node Elaborate and run [--elab-run],Check syntax [-s],Run [-r],Design building commands
-@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{3f}
+@anchor{using/InvokingGHDL elab-and-run-command}@anchor{c5}@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{c6}
@subsection Elaborate and run [@code{--elab-run}]
-@geindex ghdl command line option; --elab-run <[elab_options...] primary_unit [secondary_unit] [run_options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{40}
-@deffn {Option} @w{-}@w{-}elab@w{-}run <[elab_options...] primary_unit [secondary_unit] [run_options...]>
+@geindex ghdl command line option; --elab-run <[options...] [library.]top_unit [arch] [simulation_options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{c7}
+@deffn {Option} @w{-}@w{-}elab@w{-}run <[options...] [library.]top_unit [arch] [simulation_options...]>
@end deffn
-Acts like the elaboration command (see @ref{2a,,-e}) followed by the run command (see @ref{2b,,-r}).
+Acts like the elaboration command followed by the run command.
+Note that this command accepts two sets of options.
+See @ref{8c,,-e}, @ref{8d,,-r} and @ref{c4,,Simulation (runtime)}.
@geindex cmd checking syntax
@node Check syntax [-s],Analyze and elaborate [-c],Elaborate and run [--elab-run],Design building commands
-@anchor{using/InvokingGHDL check-syntax-s}@anchor{41}
+@anchor{using/InvokingGHDL check-syntax-s}@anchor{c8}
@subsection Check syntax [@code{-s}]
-@geindex ghdl command line option; -s <[options] files>
-@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{42}
-@deffn {Option} @w{-}s <[options] files>
+@geindex ghdl command line option; -s <[options...] file...>
+@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{c9}
+@deffn {Option} @w{-}s <[options...] file...>
@end deffn
-Analyze files but do not generate code. This command may be used to check the syntax of files. It does not update the library.
+Analyze files but do not generate code.
+This command may be used to check the syntax of files.
+It does not update the library.
@geindex cmd analyze and elaborate
@node Analyze and elaborate [-c],,Check syntax [-s],Design building commands
-@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{43}
+@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{ca}
@subsection Analyze and elaborate [@code{-c}]
-@geindex ghdl command line option; -c <[options] file... -<e|r> primary_unit [secondary_unit]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{44}
-@deffn {Option} @w{-}c <[options] file... @w{-}<e|r> primary_unit [secondary_unit]>
+@geindex ghdl command line option; -c <[options...] file... -<e|r> top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{cb}
+@deffn {Option} @w{-}c <[options...] file... @w{-}<e|r> top_unit [arch]>
@end deffn
@cartouche
-@quotation Hint
-With GCC/LLVM, @ref{2a,,-e} should be used, and @ref{2b,,-r} with mcode.
+@quotation Hint
+With GCC/LLVM, @ref{8c,,-e} should be used, and @ref{8d,,-r} with mcode.
@end quotation
@end cartouche
-The files are first parsed, and then a elaboration is performed, which drives an analysis. Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{38,,-a}. With GCC/LLVM, code is generated during the elaboration. With mcode, the simulation is launched after the elaboration.
+The files are first parsed, and then a elaboration is performed, which drives an analysis.
+Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{be,,-a}.
+With GCC/LLVM, code is generated during the elaboration.
+With mcode, the simulation is launched after the elaboration.
-All the units of the files are put into the @cite{work} library. But, the work library is neither read from disk nor saved. Therefore, you must give all the files of the @cite{work} library your design needs.
+All the units of the files are put into the @cite{work} library.
+But, the work library is neither read from disk nor saved.
+Therefore, you must give all the files of the @cite{work} library your design needs.
The advantages over the traditional approach (analyze and then elaborate) are:
@itemize *
-@item
+@item
The compilation cycle is achieved in one command.
-@item
+@item
Since the files are only parsed once, the compilation cycle may be faster.
-@item
+@item
You don’t need to know an analysis order.
-@item
+@item
This command produces a smaller executable, since unused units and subprograms do not generate code.
@end itemize
@cartouche
-@quotation Hint
-However, you should know that most of the time is spent in code generation and the analyze and elaborate command generates code for all units needed, even units of @code{std} and @code{ieee} libraries. Therefore, according to the design, the time for this command may be higher than the time for the analyze command followed by the elaborate command.
+@quotation Hint
+However, you should know that most of the time is spent in code generation and the analyze and elaborate command
+generates code for all units needed, even units of @code{std} and @code{ieee} libraries.
+Therefore, according to the design, the time for this command may be higher than the time for the analyze command
+followed by the elaborate command.
@end quotation
@end cartouche
@cartouche
-@quotation Warning
+@quotation Warning
This command is still under development. In case of problems, you should go back to the traditional way.
@end quotation
@end cartouche
@node Design rebuilding commands,Options,Design building commands,Invoking GHDL
-@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{45}
+@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{cc}
@section Design rebuilding commands
-Analyzing and elaborating a design consisting of several files can be tricky, due to dependencies. GHDL has a few commands to rebuild a design.
+Analyzing and elaborating a design consisting of several files can be tricky, due to dependencies.
+GHDL has a few commands for rebuilding a design.
@geindex cmd importing files
@menu
-* Import [-i]::
-* Make [-m]::
-* Generate Makefile [--gen-makefile]::
-* Generate dependency file command [--gen-depends]::
+* Import [-i]::
+* Elab-order [--elab-order]::
+* Make [-m]::
+* Generate Makefile [--gen-makefile]::
+* Generate dependency file command [--gen-depends]::
@end menu
-@node Import [-i],Make [-m],,Design rebuilding commands
-@anchor{using/InvokingGHDL import-i}@anchor{46}
+@node Import [-i],Elab-order [--elab-order],,Design rebuilding commands
+@anchor{using/InvokingGHDL import-command}@anchor{9e}@anchor{using/InvokingGHDL import-i}@anchor{cd}
@subsection Import [@code{-i}]
-@geindex ghdl command line option; -i <[options] file...>
-@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{47}
-@deffn {Option} @w{-}i <[options] file...>
+@geindex ghdl command line option; -i <[options...] file...>
+@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{ce}
+@deffn {Option} @w{-}i <[options...] file...>
@end deffn
-All the files specified in the command line are scanned, parsed and added into the libraries but as not yet analyzed. No object files are created. Its purpose is to localize design units in the design files. The make command will then be able to recursively build a hierarchy from an entity name or a configuration name.
+All the files specified in the command line are scanned, parsed and added into the libraries but as not yet analyzed.
+No object files are created.
+Its purpose is to localize design units in the design files.
+The make command will then be able to recursively build a hierarchy from an entity name or a configuration name.
@cartouche
-@quotation Hint
+@quotation Hint
@itemize *
-@item
-Note that all the files are added to the work library. If you have many libraries, you must use the command for each library.
+@item
+Note that all the files are added to the work library.
+If you have many libraries, you must use the command for each library.
-@item
-Since the files are parsed, there must be correct files. However, since they are not analyzed, many errors are tolerated by this command.
+@item
+Since the files are parsed, there must be correct files.
+However, since they are not analyzed, many errors are tolerated by this command.
@end itemize
@end quotation
@end cartouche
-See @ref{48,,-m}, to actually build the design.
+See @ref{cf,,-m}, to actually build the design.
+See also @ref{d0,,--elab-order}, for retrieving the compilation order guessed recursively.
+
+@geindex cmd elaboration order
+
+@node Elab-order [--elab-order],Make [-m],Import [-i],Design rebuilding commands
+@anchor{using/InvokingGHDL elab-order-elab-order}@anchor{d1}
+@subsection Elab-order [@code{--elab-order}]
+
+
+@geindex ghdl command line option; --elab-order <[options...] [libray.]top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-order}@anchor{d0}
+@deffn {Option} @w{-}@w{-}elab@w{-}order <[options...] [libray.]top_unit [arch]>
+@end deffn
+
+Print the list of sources required for elaborating a unit, in order for them to be analyzed without dependency issues.
+This is expected to be used after @ref{ce,,-i}, or for retrieving the order for some unit analyzed through third-party
+scripts.
+
+@cartouche
+@quotation Attention
+Currently, the list does not include information about the logical library names where each source needs to be
+analyzed.
+Hence, it is mostly useful when all sources belong to the same @code{WORK} library.
+@end quotation
+@end cartouche
@geindex cmd make
-@node Make [-m],Generate Makefile [--gen-makefile],Import [-i],Design rebuilding commands
-@anchor{using/InvokingGHDL make-m}@anchor{49}
+@node Make [-m],Generate Makefile [--gen-makefile],Elab-order [--elab-order],Design rebuilding commands
+@anchor{using/InvokingGHDL make-command}@anchor{9f}@anchor{using/InvokingGHDL make-m}@anchor{d2}
@subsection Make [@code{-m}]
-@geindex ghdl command line option; -m <[options] primary [secondary]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{48}
-@deffn {Option} @w{-}m <[options] primary [secondary]>
+@geindex ghdl command line option; -m <[options...] [library.]top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{cf}
+@deffn {Option} @w{-}m <[options...] [library.]top_unit [arch]>
@end deffn
-Analyze automatically outdated files and elaborate a design. The primary unit denoted by the @code{primary} argument must already be known by the system, either because you have already analyzed it (even if you have modified it) or because you have imported it. A file may be outdated because it has been modified (e.g. you have just edited it), or because a design unit contained in the file depends on a unit which is outdated. This rule is of course recursive.
+Analyze automatically outdated files and elaborate a design.
+The primary unit denoted by the @code{[library.]top_unit} argument must already be known by the system, either because you
+have already analyzed it (even if you have modified it) or because you have imported it.
+A file may be outdated because it has been modified (e.g. you have just edited it), or because a design unit contained
+in the file depends on a unit which is outdated.
+This rule is recursive.
@itemize *
-@item
-With option @ref{4a,,--bind}, GHDL will stop before the final linking step. This is useful when the main entry point is not GHDL and you’re linking GHDL object files into a foreign program.
+@item
+With option @code{--bind}, GHDL will stop before the final linking step.
+This is useful when the main entry point is not GHDL and you’re linking GHDL object files into a foreign program.
-@item
-With option @ref{4b,,-f} (force), GHDL analyzes all the units of the work library needed to create the design hierarchy. Outdated units are recompiled. This is useful if you want to compile a design hierarchy with new compilation flags (for example, to add the @emph{-g} debugging option).
+@item
+With option @code{-f} (force), GHDL analyzes all the units of the work library needed to create the design hierarchy.
+Outdated units are recompiled.
+This is useful if you want to compile a design hierarchy with new compilation flags (for example, to add the @code{-g}
+debugging option).
@end itemize
-The make command will only re-analyze design units in the work library. GHDL fails if it has to analyze an outdated unit from another library.
+@cartouche
+@quotation Important
+The make command will only re-analyze design units in the work library.
+GHDL fails if it has to analyze an outdated unit from another library.
+@end quotation
+@end cartouche
-The purpose of this command is to be able to compile a design without prior knowledge of file order. In the VHDL model, some units must be analyzed before others (e.g. an entity before its architecture). It might be a nightmare to analyze a full design of several files if you don’t have the ordered list of files. This command computes an analysis order.
+The purpose of this command is to be able to compile a design without prior knowledge of file order.
+In the VHDL model, some units must be analyzed before others (e.g. an entity before its architecture).
+It might be a nightmare to analyze a full design of several files if you don’t have the ordered list of files.
+This command computes an analysis order.
-The make command fails when a unit was not previously parsed. For example, if you split a file containing several design units into several files, you must either import these new files or analyze them so that GHDL knows in which file these units are.
+The make command fails when a unit was not previously parsed.
+For example, if you split a file containing several design units into several files, you must either import these new
+files or analyze them so that GHDL knows in which file these units are.
-The make command imports files which have been modified. Then, a design hierarchy is internally built as if no units are outdated. Then, all outdated design units, using the dependencies of the design hierarchy, are analyzed. If necessary, the design hierarchy is elaborated.
+The make command imports files which have been modified.
+Then, a design hierarchy is internally built as if no units are outdated.
+Then, all outdated design units, using the dependencies of the design hierarchy, are analyzed.
+If necessary, the design hierarchy is elaborated.
-This is not perfect, since the default architecture (the most recently analyzed one) may change while outdated design files are analyzed. In such a case, re-run the make command of GHDL.
+@cartouche
+@quotation Hint
+This is not perfect, since the default architecture (the most recently analyzed one) may change while outdated design
+files are analyzed.
+In such a case, re-run the make command of GHDL.
+@end quotation
+@end cartouche
@geindex cmd generate makefile
@node Generate Makefile [--gen-makefile],Generate dependency file command [--gen-depends],Make [-m],Design rebuilding commands
-@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{4c}
+@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{d3}
@subsection Generate Makefile [@code{--gen-makefile}]
-@geindex ghdl command line option; --gen-makefile <[options] primary [secondary]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{4d}
-@deffn {Option} @w{-}@w{-}gen@w{-}makefile <[options] primary [secondary]>
+@geindex ghdl command line option; --gen-makefile <[options...] [library.]top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{d4}
+@deffn {Option} @w{-}@w{-}gen@w{-}makefile <[options...] [library.]top_unit [arch]>
@end deffn
-This command works like the make command (see @ref{48,,-m}), but only a makefile is generated on the standard output.
+This command works like the make command (see @ref{cf,,-m}), but only a makefile is generated on the standard output.
@geindex --gen-depends command
@node Generate dependency file command [--gen-depends],,Generate Makefile [--gen-makefile],Design rebuilding commands
-@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{4e}
+@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{d5}
@subsection Generate dependency file command [@code{--gen-depends}]
-@geindex ghdl command line option; --gen-depends <[options] primary [secondary]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{4f}
-@deffn {Option} @w{-}@w{-}gen@w{-}depends <[options] primary [secondary]>
+@geindex ghdl command line option; --gen-depends <[options...] [library.]top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{d6}
+@deffn {Option} @w{-}@w{-}gen@w{-}depends <[options...] [library.]top_unit [arch]>
@end deffn
Generate a Makefile containing only dependencies to build a design unit.
-This command works like the make and gen-makefile commands (see @ref{48,,-m}), but instead of a full makefile only dependencies without rules are generated on the standard output.
+This command works like the make and gen-makefile commands (see @ref{cf,,-m}), but instead of a full makefile only
+dependencies without rules are generated on the standard output.
Theses rules can then be integrated in another Makefile.
@node Options,Warnings,Design rebuilding commands,Invoking GHDL
-@anchor{using/InvokingGHDL ghdl-options}@anchor{39}@anchor{using/InvokingGHDL options}@anchor{50}
+@anchor{using/InvokingGHDL ghdl-options}@anchor{bf}@anchor{using/InvokingGHDL options}@anchor{d7}
@section Options
@@ -1617,51 +3054,82 @@ Theses rules can then be integrated in another Makefile.
@geindex 1076.3
-@cartouche
-@quotation Hint
-Besides the options described below, @cite{GHDL} passes any debugging options (those that begin with @code{-g}) and optimizations options (those that begin with -O@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-o} or @ref{4b,,-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for details.
-@end quotation
-@end cartouche
-
@geindex WORK library
@geindex ghdl command line option; --work=<LIB_NAME>
-@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{51}
+@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{86}
@deffn {Option} @w{-}@w{-}work=<LIB_NAME>
-Specify the name of the @code{WORK} library. Analyzed units are always placed in the library logically named @code{WORK}. With this option, you can set its name. By default, the name is @code{work}.
+Specify the name of the @code{WORK} library. Analyzed units are always placed in the library logically named @code{WORK}.
+With this option, you can set its name.
+By default, the name is @code{work}.
-@cite{GHDL} checks whether @code{WORK} is a valid identifier. Although being more or less supported, the @code{WORK} identifier should not be an extended identifier, since the filesystem may prevent it from working correctly (due to case sensitivity or forbidden characters in filenames).
+@cite{GHDL} checks whether @code{WORK} is a valid identifier.
+Although being more or less supported, the @code{WORK} identifier should not be an extended identifier, since the
+filesystem may prevent it from working correctly (due to case sensitivity or forbidden characters in filenames).
-@cite{VHDL} rules forbid you from adding units to the @code{std} library. Furthermore, you should not put units in the @code{ieee} library.
+@cartouche
+@quotation Attention
+@cite{VHDL} rules forbid you from adding units to the @code{std} library.
+Furthermore, you should not put units in the @code{ieee} library.
+@end quotation
+@end cartouche
+
+@cartouche
+@quotation Hint
+Since 849a25e0@footnote{https://github.com/ghdl/ghdl/commit/849a25e02cfb359e3d9313060156b0643495548b}, this option can
+be alternatively provided to several commands by prepending the library name to the top unit name.
+See, for instance, @ref{8c,,-e}.
+@end quotation
+@end cartouche
@end deffn
@geindex ghdl command line option; --workdir=<DIR>
-@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{52}
+@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{9d}
@deffn {Option} @w{-}@w{-}workdir=<DIR>
-Specify the directory where the @code{WORK} library is located. When this option is not present, the @code{WORK} library is in the current directory. The object files created by the compiler are always placed in the same directory as the @code{WORK} library.
+Specify the directory where the @code{WORK} library is located.
+When this option is not present, the @code{WORK} library is in the current directory.
+The object files created by the compiler are always placed in the same directory as the @code{WORK} library.
-Use option @code{-P} to specify where libraries other than @code{WORK} are placed.
+Use option @ref{87,,-P} to specify where libraries other than @code{WORK} are placed.
@end deffn
@geindex ghdl command line option; --std=<STANDARD>
-@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{53}
+@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{82}
@deffn {Option} @w{-}@w{-}std=<STANDARD>
-Specify the standard to use. By default, the standard is @code{93c}, which means VHDL-93 accepting VHDL-87 syntax. For details on @code{STANDARD} values see section @ref{54,,VHDL standards}.
+Specify the standard to use. By default, the standard is @code{93c}, which means VHDL-93 with relaxed rules.
+For details on @code{STANDARD} values see section @ref{81,,VHDL standards}.
+
+@cartouche
+@quotation Important
+This option resets the effect of @ref{d8,,-frelaxed}, so it should be the first option.
+@end quotation
+@end cartouche
+@end deffn
+
+@geindex ghdl command line option; -fsynopsys
+@anchor{using/InvokingGHDL cmdoption-ghdl-fsynopsys}@anchor{d9}
+@deffn {Option} @w{-}fsynopsys
+
+Allow the use of synopsys non-standard packages (@code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned},
+@code{std_logic_textio}).
+These packages are present in the ieee library but without this option it’s an error to use them.
+
+The synopsys packages were created by some companies, and are popular.
+However they are not standard packages, and have been placed in the @cite{IEEE} library without the permission from the
+@code{ieee}.
@end deffn
@geindex ghdl command line option; --ieee=<IEEE_VAR>
-@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{55}
+@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{83}
@deffn {Option} @w{-}@w{-}ieee=<IEEE_VAR>
@geindex ieee library
@geindex synopsys library
-@geindex mentor library
-
Select the @code{IEEE} library to use. @code{IEEE_VAR} must be one of:
@@ -1669,76 +3137,87 @@ Select the @code{IEEE} library to use. @code{IEEE_VAR} must be one of:
@item none
-Do not supply an @cite{IEEE} library. Any library clause with the @code{IEEE}
-identifier will fail, unless you have created your own library with
-the @cite{IEEE} name.
+Do not supply an @cite{IEEE} library. Any library clause with the @code{IEEE} identifier will fail, unless you have created
+your own library with the @cite{IEEE} name.
@item standard
-Supply an @cite{IEEE} library containing only packages defined by
-@code{ieee} standards. Currently, there are the multivalue logic system
-package @code{std_logic_1164} defined by IEEE 1164, the synthesis
-packages @code{numeric_bit} and @code{numeric_std} defined by IEEE
-1076.3, and the @code{vital} packages @code{vital_timing} and
-@code{vital_primitives}, defined by IEEE 1076.4. The version of these
-packages is defined by the VHDL standard used. See section @ref{56,,VITAL packages},
-for more details.
+Supply an @cite{IEEE} library containing only packages defined by @code{ieee} standards.
+Currently, there are the multivalue logic system package @code{std_logic_1164} defined by IEEE 1164, the synthesis
+packages @code{numeric_bit} and @code{numeric_std} defined by IEEE 1076.3, and the @code{vital} packages @code{vital_timing} and
+@code{vital_primitives}, defined by IEEE 1076.4.
+The version of these packages is defined by the VHDL standard used.
+See section @ref{da,,VITAL packages}, for more details.
@item synopsys
-Supply the former packages and the following additional packages:
-@code{std_logic_arith}, @code{std_logic_signed},
-@code{std_logic_unsigned}, @code{std_logic_textio}.
-
-These packages were created by some companies, and are popular. However
-they are not standard packages, and have been placed in the @cite{IEEE}
-library without the permission from the @code{ieee}.
-
-@item mentor
-
-Supply the standard packages and the following additional package:
-@code{std_logic_arith}. This package is a slight variation of a definitely
-not standard but widely misused package.
+This option is now deprecated.
+It is equivalent to @code{--ieee=standard} and @code{-fsynopsys}.
@end table
-To avoid errors, you must use the same @cite{IEEE} library for all units of
-your design, and during elaboration.
+To avoid errors, you must use the same @cite{IEEE} library for all units of your design, and during elaboration.
@end deffn
@geindex ghdl command line option; -P<DIRECTORY>
-@anchor{using/InvokingGHDL cmdoption-ghdl-p-directory}@anchor{57}
+@anchor{using/InvokingGHDL cmdoption-ghdl-P}@anchor{87}@anchor{using/InvokingGHDL cmdoption-ghdl-p}@anchor{db}
@deffn {Option} @w{-}P<DIRECTORY>
-Add @cite{DIRECTORY} to the end of the list of directories to be searched for
-library files. A library is searched in @cite{DIRECTORY} and also in
-@cite{DIRECTORY/LIB/vVV} (where @cite{LIB} is the name of the library and @cite{VV}
+Add @cite{DIRECTORY} to the end of the list of directories to be searched for library files.
+A library is searched in @cite{DIRECTORY} and also in @cite{DIRECTORY/LIB/vVV} (where @cite{LIB} is the name of the library and @cite{VV}
the vhdl standard).
-The @cite{WORK} library is always searched in the path specified by the
-@ref{52,,--workdir} option, or in the current directory if the latter
-option is not specified.
+The @cite{WORK} library is always searched in the path specified by the @ref{9d,,--workdir} option, or in the current
+directory if the latter option is not specified.
@end deffn
@geindex ghdl command line option; -fexplicit
-@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{58}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{84}
@deffn {Option} @w{-}fexplicit
When two operators are overloaded, give preference to the explicit declaration.
-This may be used to avoid the most common pitfall of the @code{std_logic_arith}
-package. See section @ref{14,,IEEE library pitfalls}, for an example.
+This may be used to avoid the most common pitfall of the @code{std_logic_arith} package.
+See section @ref{67,,IEEE library pitfalls}, for an example.
@end deffn
@cartouche
-@quotation Warning
-This option is not set by default. I don’t think this option is a good feature, because it breaks the encapsulation rule. When set, an operator can be silently overridden in another package. You’d do better to fix your design and use the @code{numeric_std} package.
+@quotation Warning
+This option is not set by default.
+We don’t think this option is a good feature, because it breaks the encapsulation rule.
+When set, an operator can be silently overridden in another package.
+You’d do better to fix your design and use the @code{numeric_std} package.
@end quotation
@end cartouche
+@geindex ghdl command line option; -frelaxed
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed}@anchor{d8}
+@deffn {Option} @w{-}frelaxed
+@end deffn
+
@geindex ghdl command line option; -frelaxed-rules
-@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{59}
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{85}
@deffn {Option} @w{-}frelaxed@w{-}rules
-Within an object declaration, allow references to the name (which references the hidden declaration). This ignores the error in the following code:
+@cartouche
+@quotation Important
+The effects of this option are reset by @ref{82,,--std}, so it should be placed @emph{after} that option.
+@end quotation
+@end cartouche
+
+Slightly relax some rules to be compatible with various other simulators or synthesizers:
+
+
+@itemize *
+
+@item
+VHDL-87 file declarations are accepted;
+
+@item
+Default binding indication rules of VHDL-02 are used. Default binding rules are often used, but they are
+particularly obscure before VHDL-02.
+
+@item
+Within an object declaration, allow references to the name (which references the hidden declaration).
+This ignores the error in the following code:
@example
package pkg1 is
@@ -1751,117 +3230,216 @@ package pkg2 is
end pkg2;
@end example
-Some code (such as Xilinx packages) have such constructs, which are valid.
+Some code (such as Xilinx packages) have such constructs, which are invalid.
-(The scope of the @code{state1} constant starts at the @cite{constant} keyword. Because the constant @code{state1} and the enumeration literal @code{state1} are homographs, the enumeration literal is hidden in the immediate scope of the constant).
+(The scope of the @code{state1} constant starts at the @cite{constant} keyword.
+Because the constant @code{state1} and the enumeration literal @code{state1} are homographs, the enumeration literal is
+hidden in the immediate scope of the constant).
+@end itemize
-This option also relaxes the rules about pure functions. Violations result in warnings instead of errors.
+This option also relaxes the rules about pure functions.
+Violations result in warnings instead of errors.
@end deffn
@geindex ghdl command line option; -fpsl
-@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{5a}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{dc}
@deffn {Option} @w{-}fpsl
-Enable parsing of PSL assertions within comments. See section @ref{5b,,PSL implementation} for more details.
+Enable parsing of PSL assertions within comments.
+See section @ref{dd,,PSL support} for more details.
+@end deffn
+
+@geindex ghdl command line option; --mb-comments
+@geindex ghdl command line option; -C
+@anchor{using/InvokingGHDL cmdoption-ghdl-mb-comments}@anchor{8b}@anchor{using/InvokingGHDL cmdoption-ghdl-C}@anchor{de}
+@deffn {Option} @w{-}@w{-}mb@w{-}comments, @w{-}C
+
+Allow UTF8 or multi-bytes chars in a comment.
+
+According to the VHDL standards before 2002, the only characters allowed in a source file (and that includes the
+comments) are the graphical characters of the ISO 8859-1 character set.
+This is incompatible with comments using UTF-8 or some other encoding.
+This option lift this restriction.
+@end deffn
+
+@geindex ghdl command line option; --syn-binding
+@anchor{using/InvokingGHDL cmdoption-ghdl-syn-binding}@anchor{df}
+@deffn {Option} @w{-}@w{-}syn@w{-}binding
+
+Use synthesizer rules for component binding.
+During elaboration, if a component is not bound to an entity using VHDL LRM rules, try to find in any known library an
+entity whose name is the same as the component name.
+
+This rule is known as the synthesizer rule.
+
+There are two key points: normal VHDL LRM rules are tried first and entities are searched only in known libraries.
+A known library is a library which has been named in your design.
+
+This option is only useful during elaboration.
+@end deffn
+
+@geindex ghdl command line option; --format=<FORMAT>
+@anchor{using/InvokingGHDL cmdoption-ghdl-format}@anchor{e0}
+@deffn {Option} @w{-}@w{-}format=<FORMAT>
+
+Define the output format of some options, such as @ref{e1,,--pp-html} or @ref{e2,,--xref-html}.
+
+
+@itemize *
+
+@item
+By default or when @ref{e0,,--format=html2} is specified, generated files follow the HTML 2.0 standard,
+and colours are specified with @cite{<FONT>} tags.
+However, colours are hard-coded.
+
+@item
+If @ref{e0,,--format=css} is specified, generated files follow the HTML 4.0 standard, and use the CSS-1
+file @code{ghdl.css} to specify colours.
+This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user
+to change colours or appearance.
+Refer to a generated file and its comments for more information.
+@end itemize
@end deffn
@geindex ghdl command line option; --no-vital-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{5c}
+@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{e3}
@deffn {Option} @w{-}@w{-}no@w{-}vital@w{-}checks
@end deffn
@geindex ghdl command line option; --vital-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{5d}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{e4}
@deffn {Option} @w{-}@w{-}vital@w{-}checks
Disable or enable checks of restriction on VITAL units. Checks are enabled by default.
-Checks are performed only when a design unit is decorated by a VITAL attribute. The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both declared in the @code{ieee.VITAL_Timing} package.
+Checks are performed only when a design unit is decorated by a VITAL attribute.
+The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both declared in the @code{ieee.VITAL_Timing} package.
-Currently, VITAL checks are only partially implemented. See section @ref{5e,,VHDL restrictions for VITAL} for more details.
+Currently, VITAL checks are only partially implemented.
+See section @ref{e5,,VHDL restrictions for VITAL} for more details.
@end deffn
-@geindex ghdl command line option; --PREFIX<=PATH>
-@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{5f}
-@deffn {Option} @w{-}@w{-}PREFIX<=PATH>
+@geindex ghdl command line option; --PREFIX=<PATH>
+@anchor{using/InvokingGHDL cmdoption-ghdl-PREFIX}@anchor{b9}@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{e6}
+@deffn {Option} @w{-}@w{-}PREFIX=<PATH>
Use @code{PATH} as the prefix path to find commands and pre-installed (@code{std} and @code{ieee}) libraries.
@end deffn
@geindex ghdl command line option; -v
-@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{60}
+@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{e7}
@deffn {Option} @w{-}v
Be verbose. For example, for analysis, elaboration and make commands, GHDL displays the commands executed.
@end deffn
+@geindex ghdl command line option; -o=<FNAME>
+@anchor{using/InvokingGHDL cmdoption-ghdl-o}@anchor{e8}
+@deffn {Option} @w{-}o=<FNAME>
+
+All the commands that perform a link (@ref{8c,,-e}, @ref{c7,,--elab-run}, @ref{e9,,--link}, @ref{cb,,-c},
+@ref{cf,,-m}, etc.) support overriding the location and name of the generated artifact.
+@end deffn
+
+@geindex ghdl command line option; --time-resolution=<UNIT>
+@anchor{using/InvokingGHDL cmdoption-ghdl-time-resolution}@anchor{ea}
+@deffn {Option} @w{-}@w{-}time@w{-}resolution=<UNIT>
+
+@cartouche
+@quotation Attention
+This feature is supported with @emph{mcode} backend only.
+It is not possible to support it with either LLVM or GCC backends, because it needs to apply globally.
+@end quotation
+@end cartouche
+
+Set the base time resolution of the simulation.
+This option is supported in commands @ref{be,,-a} and @ref{8d,,-r} only.
+Allowed values are @code{auto} (default), @code{fs}, @code{ps}, @code{ns}, @code{us}, @code{ms} or @code{sec}.
+With LLVM/GCC, the value is fixed to @code{fs}.
+
+@cartouche
+@quotation Hint
+When overriding the time resolution, all the time units that are used in the design must be larger.
+Using units below the resolution will produce a failure.
+@end quotation
+@end cartouche
+@end deffn
+
@node Warnings,Diagnostics Control,Options,Invoking GHDL
-@anchor{using/InvokingGHDL warnings}@anchor{61}
+@anchor{using/InvokingGHDL warnings}@anchor{eb}
@section Warnings
-Some constructions are not erroneous but dubious. Warnings are diagnostic messages that report such constructions. Some warnings are reported only during analysis, others during elaboration.
+Some constructions are not erroneous but dubious.
+Warnings are diagnostic messages that report such constructions.
+Some warnings are reported only during analysis, others during elaboration.
@cartouche
-@quotation Hint
+@quotation Hint
You could disable a warning by using the @code{--warn-no-XXX} or @code{-Wno-XXX} instead of @code{--warn-XXX} or @code{-WXXX}.
@end quotation
@end cartouche
@cartouche
-@quotation Hint
-The warnings @code{-Wbinding}, @code{-Wlibrary}, @code{-Wshared},
-@code{-Wpure}, @code{-Wspecs}, @code{-Whide}, @code{-Wport} are enabled by
-default.
+@quotation Hint
+The warnings @code{-Wbinding}, @code{-Wlibrary}, @code{-Wshared}, @code{-Wpure}, @code{-Wspecs}, @code{-Whide}, @code{-Wport} are enabled
+by default.
@end quotation
@end cartouche
@geindex ghdl command line option; --warn-library
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{62}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{ec}
@deffn {Option} @w{-}@w{-}warn@w{-}library
Warns if a design unit replaces another design unit with the same name.
@end deffn
@geindex ghdl command line option; --warn-default-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{63}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{ed}
@deffn {Option} @w{-}@w{-}warn@w{-}default@w{-}binding
-During analyze, warns if a component instantiation has neither configuration specification nor default binding. This may be useful if you want to detect during analyze possibly unbound components if you don’t use configuration. See section @ref{54,,VHDL standards} for more details about default binding rules.
+During analyze, warns if a component instantiation has neither configuration specification nor default binding.
+This may be useful if you want to detect during analyze possibly unbound components if you don’t use configuration.
+See section @ref{81,,VHDL standards} for more details about default binding rules.
@end deffn
@geindex ghdl command line option; --warn-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{64}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{ee}
@deffn {Option} @w{-}@w{-}warn@w{-}binding
-During elaboration, warns if a component instantiation is not bound (and not explicitly left unbound). Also warns if a port of an entity is not bound in a configuration specification or in a component configuration. This warning is enabled by default, since default binding rules are somewhat complex and an unbound component is most often unexpected.
+During elaboration, warns if a component instantiation is not bound (and not explicitly left unbound).
+Also warns if a port of an entity is not bound in a configuration specification or in a component configuration.
+This warning is enabled by default, since default binding rules are somewhat complex and an unbound component is most
+often unexpected.
-However, warnings are still emitted if a component instantiation is inside a generate statement. As a consequence, if you use the conditional generate statement to select a component according to the implementation, you will certainly get warnings.
+However, warnings are still emitted if a component instantiation is inside a generate statement.
+As a consequence, if you use the conditional generate statement to select a component according to the implementation,
+you will certainly get warnings.
@end deffn
@geindex ghdl command line option; --warn-reserved
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{65}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{ef}
@deffn {Option} @w{-}@w{-}warn@w{-}reserved
Emit a warning if an identifier is a reserved word in a later VHDL standard.
@end deffn
@geindex ghdl command line option; --warn-nested-comment
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{66}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{f0}
@deffn {Option} @w{-}@w{-}warn@w{-}nested@w{-}comment
Emit a warning if a @code{/*} appears within a block comment (vhdl 2008).
@end deffn
@geindex ghdl command line option; --warn-parenthesis
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{67}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{f1}
@deffn {Option} @w{-}@w{-}warn@w{-}parenthesis
Emit a warning in case of weird use of parentheses.
@end deffn
@geindex ghdl command line option; --warn-vital-generic
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{68}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{f2}
@deffn {Option} @w{-}@w{-}warn@w{-}vital@w{-}generic
Warns if a generic name of a vital entity is not a vital generic name. This
@@ -1869,241 +3447,207 @@ is set by default.
@end deffn
@geindex ghdl command line option; --warn-delayed-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{69}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{f3}
@deffn {Option} @w{-}@w{-}warn@w{-}delayed@w{-}checks
-Warns for checks that cannot be done during analysis time and are postponed to elaboration time. This is because not all procedure bodies are available during analysis (either because a package body has not yet been analysed or because @cite{GHDL} doesn’t read not required package bodies).
+Warns for checks that cannot be done during analysis time and are postponed to elaboration time.
+This is because not all procedure bodies are available during analysis (either because a package body has not yet been
+analysed or because @cite{GHDL} doesn’t read not required package bodies).
-These are checks for no wait statements in a procedure called in a sensitized process and checks for pure rules of a function.
+These are checks for no wait statements in a procedure called in a sensitized process and checks for pure rules of a
+function.
@end deffn
@geindex ghdl command line option; --warn-body
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{6a}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{f4}
@deffn {Option} @w{-}@w{-}warn@w{-}body
-Emit a warning if a package body which is not required is analyzed. If a package does not declare a subprogram or a deferred constant, the package does not require a body.
+Emit a warning if a package body which is not required is analyzed. If a package does not declare a subprogram or a
+deferred constant, the package does not require a body.
@end deffn
@geindex ghdl command line option; --warn-specs
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{6b}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{f5}
@deffn {Option} @w{-}@w{-}warn@w{-}specs
Emit a warning if an all or others specification does not apply.
@end deffn
@geindex ghdl command line option; --warn-runtime-error
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{6c}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{f6}
@deffn {Option} @w{-}@w{-}warn@w{-}runtime@w{-}error
-Emit a warning in case of runtime error that is detected during
-analysis.
+Emit a warning in case of runtime error that is detected during analysis.
@end deffn
@geindex ghdl command line option; --warn-shared
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{6d}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{f7}
@deffn {Option} @w{-}@w{-}warn@w{-}shared
-Emit a warning when a shared variable is declared and its type it
-not a protected type.
+Emit a warning when a shared variable is declared and its type it not a protected type.
@end deffn
@geindex ghdl command line option; --warn-hide
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{6e}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{f8}
@deffn {Option} @w{-}@w{-}warn@w{-}hide
Emit a warning when a declaration hides a previous hide.
@end deffn
@geindex ghdl command line option; --warn-unused
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{6f}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{f9}
@deffn {Option} @w{-}@w{-}warn@w{-}unused
Emit a warning when a subprogram is never used.
@end deffn
@geindex ghdl command line option; --warn-others
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{70}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{fa}
@deffn {Option} @w{-}@w{-}warn@w{-}others
-Emit a warning is an @cite{others} choice is not required because all the
-choices have been explicitly covered.
+Emit a warning is an @cite{others} choice is not required because all the choices have been explicitly covered.
@end deffn
@geindex ghdl command line option; --warn-pure
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{71}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{fb}
@deffn {Option} @w{-}@w{-}warn@w{-}pure
-Emit a warning when a pure rules is violated (like declaring a pure
-function with access parameters).
+Emit a warning when a pure rules is violated (like declaring a pure function with access parameters).
@end deffn
@geindex ghdl command line option; --warn-static
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{72}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{fc}
@deffn {Option} @w{-}@w{-}warn@w{-}static
-Emit a warning when a non-static expression is used at a place where
-the standard requires a static expression.
+Emit a warning when a non-static expression is used at a place where the standard requires a static expression.
@end deffn
@geindex ghdl command line option; --warn-error
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{73}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{fd}
@deffn {Option} @w{-}@w{-}warn@w{-}error
When this option is set, warnings are considered as errors.
@end deffn
@node Diagnostics Control,Library commands,Warnings,Invoking GHDL
-@anchor{using/InvokingGHDL diagnostics-control}@anchor{74}
+@anchor{using/InvokingGHDL diagnostics-control}@anchor{fe}
@section Diagnostics Control
@geindex ghdl command line option; -fcolor-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{75}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{ff}
@deffn {Option} @w{-}fcolor@w{-}diagnostics
@end deffn
@geindex ghdl command line option; -fno-color-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{76}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{100}
@deffn {Option} @w{-}fno@w{-}color@w{-}diagnostics
Control whether diagnostic messages are displayed in color. The default is on when the standard output is a terminal.
@end deffn
@geindex ghdl command line option; -fdiagnostics-show-option
-@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{77}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{101}
@deffn {Option} @w{-}fdiagnostics@w{-}show@w{-}option
@end deffn
@geindex ghdl command line option; -fno-diagnostics-show-option
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{78}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{102}
@deffn {Option} @w{-}fno@w{-}diagnostics@w{-}show@w{-}option
-Control whether the warning option is displayed at the end of warning messages, so that the user can easily know how to disable it.
+Control whether the warning option is displayed at the end of warning messages, so that the user can easily know how
+to disable it.
@end deffn
@geindex ghdl command line option; -fcaret-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{79}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{103}
@deffn {Option} @w{-}fcaret@w{-}diagnostics
@end deffn
@geindex ghdl command line option; -fno-caret-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{7a}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{104}
@deffn {Option} @w{-}fno@w{-}caret@w{-}diagnostics
-Control whether the source line of the error is displayed with a
-caret indicating the column of the error.
+Control whether the source line of the error is displayed with a caret indicating the column of the error.
@end deffn
-@node Library commands,VPI build commands,Diagnostics Control,Invoking GHDL
-@anchor{using/InvokingGHDL library-commands}@anchor{7b}
+@node Library commands,VPI/VHPI build commands,Diagnostics Control,Invoking GHDL
+@anchor{using/InvokingGHDL library-commands}@anchor{105}
@section Library commands
-@anchor{using/InvokingGHDL create-a-library}@anchor{7c}
+@anchor{using/InvokingGHDL create-a-library}@anchor{106}
@geindex create your own library
-A new library is created implicitly, by compiling entities (packages etc.) into it: @code{ghdl -a --work=my_custom_lib my_file.vhd}.
+A new library is created implicitly, by compiling entities (packages etc.) into it:
+@code{ghdl -a --work=my_custom_lib my_file.vhdl}.
-A library’s source code is usually stored and compiled into its own directory, that you specify with the @ref{52,,--workdir} option: @code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhd}. See also the @code{-P} command line option.
+A library’s source code is usually stored and compiled into its own directory, that you specify with the
+@ref{9d,,--workdir} option:
+@code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhdl}.
+See also the @ref{87,,-P} command line option.
Furthermore, GHDL provides a few commands which act on a library:
@geindex cmd library directory
-@menu
-* Directory [--dir]::
-* Clean [--clean]::
-* Remove [--remove]::
-* Copy [--copy]::
-
-@end menu
-
-@node Directory [--dir],Clean [--clean],,Library commands
-@anchor{using/InvokingGHDL directory-dir}@anchor{7d}
-@subsection Directory [@code{--dir}]
-
-
-@geindex ghdl command line option; --dir <[options] [libs]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{7e}
-@deffn {Option} @w{-}@w{-}dir <[options] [libs]>
+@geindex ghdl command line option; --dir <[options...] [libs]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{107}
+@deffn {Option} @w{-}@w{-}dir <[options...] [libs]>
@end deffn
-Displays the content of the design libraries (by default the @code{work} library). All options are allowed, but only a few are meaningful: @ref{51,,--work}, @ref{52,,--workdir} and @ref{53,,--std}.
+Displays the content of the design libraries (by default the @code{work} library).
+All options are allowed, but only a few are meaningful: @ref{86,,--work}, @ref{9d,,--workdir} and @ref{82,,--std}.
@geindex cmd library clean
-
-@node Clean [--clean],Remove [--remove],Directory [--dir],Library commands
-@anchor{using/InvokingGHDL clean-clean}@anchor{7f}
-@subsection Clean [@code{--clean}]
-
-
-@geindex ghdl command line option; --clean <[options]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{80}
-@deffn {Option} @w{-}@w{-}clean <[options]>
+@anchor{using/InvokingGHDL clean-command}@anchor{a1}
+@geindex ghdl command line option; --clean <[options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{108}
+@deffn {Option} @w{-}@w{-}clean <[options...]>
@end deffn
-Try to remove any object, executable or temporary file it could have created. Source files are not removed. The library is kept.
+Try to remove any object, executable or temporary file it could have created.
+Source files are not removed.
+The library is kept.
@geindex cmd library remove
-
-@node Remove [--remove],Copy [--copy],Clean [--clean],Library commands
-@anchor{using/InvokingGHDL remove-remove}@anchor{81}
-@subsection Remove [@code{--remove}]
-
-
-@geindex ghdl command line option; --remove <[options]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{82}
-@deffn {Option} @w{-}@w{-}remove <[options]>
+@anchor{using/InvokingGHDL remove-command}@anchor{a2}
+@geindex ghdl command line option; --remove <[options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{109}
+@deffn {Option} @w{-}@w{-}remove <[options...]>
@end deffn
-Acts like the clean command but removes the library too. Note that after removing a design library, the files are not
-known anymore by GHDL.
+Acts like the clean command but removes the library too.
+Note that after removing a design library, the files are not known anymore by GHDL.
@geindex cmd library copy
-@node Copy [--copy],,Remove [--remove],Library commands
-@anchor{using/InvokingGHDL copy-copy}@anchor{83}
-@subsection Copy [@code{--copy}]
-
-
-@geindex ghdl command line option; --copy <--work=name [options]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{84}
-@deffn {Option} @w{-}@w{-}copy <@w{-}@w{-}work=name [options]>
+@geindex ghdl command line option; --copy <--work=name [options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{10a}
+@deffn {Option} @w{-}@w{-}copy <@w{-}@w{-}work=name [options...]>
@end deffn
-Make a local copy of an existing library. This is very useful if you want to add units to the @code{ieee} library:
+Make a local copy of an existing library.
+This is very useful if you want to add units to the @code{ieee} library:
@example
ghdl --copy --work=ieee --ieee=synopsys
ghdl -a --work=ieee numeric_unsigned.vhd
@end example
-@node VPI build commands,IEEE library pitfalls,Library commands,Invoking GHDL
-@anchor{using/InvokingGHDL id1}@anchor{85}@anchor{using/InvokingGHDL vpi-build-commands}@anchor{86}
-@section VPI build commands
+@node VPI/VHPI build commands,IEEE library pitfalls,Library commands,Invoking GHDL
+@anchor{using/InvokingGHDL vpi-build-commands}@anchor{10b}@anchor{using/InvokingGHDL vpi-vhpi-build-commands}@anchor{10c}
+@section VPI/VHPI build commands
-These commands simplify the compile and the link of a user vpi module. They are all wrappers: the arguments are in fact a whole command line that is executed with additional switches. Currently a unix-like compiler (like @cite{cc}, @cite{gcc} or @cite{clang}) is expected: the additional switches use their syntax. The only option is @cite{-v} which displays the
-command before its execution.
+These commands simplify the compile and the link of a user VPI or VHPI module.
+They are all wrappers: the arguments are in fact a whole command line that is executed with additional switches.
+Currently a unix-like compiler (like @cite{cc}, @cite{gcc} or @cite{clang}) is expected: the additional switches use their syntax.
+The only option is @cite{-v} which displays the command before its execution.
@geindex cmd VPI compile
-@menu
-* compile [--vpi-compile]::
-* link [--vpi-link]::
-* cflags [--vpi-cflags]::
-* ldflags [--vpi-ldflags]::
-* include dir [--vpi-include-dir]::
-* library dir [--vpi-library-dir]::
-
-@end menu
-
-@node compile [--vpi-compile],link [--vpi-link],,VPI build commands
-@anchor{using/InvokingGHDL compile-vpi-compile}@anchor{87}
-@subsection compile [@code{--vpi-compile}]
-
-
@geindex ghdl command line option; --vpi-compile <command>
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{88}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{10d}
@deffn {Option} @w{-}@w{-}vpi@w{-}compile <command>
@end deffn
@@ -2119,27 +3663,12 @@ This will execute:
command -Ixxx/include
@end example
-For example:
-
-@example
-ghdl --vpi-compile gcc -c vpi1.c
-@end example
-
-executes:
-
-@example
-gcc -c vpi1.c -fPIC -Ixxx/include
-@end example
-@anchor{using/InvokingGHDL vpi-link-command}@anchor{89}
+For example, @code{ghdl --vpi-compile gcc -c vpi1.c} executes @code{gcc -c vpi1.c -fPIC -Ixxx/include}.
+@anchor{using/InvokingGHDL vpi-link-command}@anchor{10e}
@geindex cmd VPI link
-@node link [--vpi-link],cflags [--vpi-cflags],compile [--vpi-compile],VPI build commands
-@anchor{using/InvokingGHDL link-vpi-link}@anchor{8a}
-@subsection link [@code{--vpi-link}]
-
-
@geindex ghdl command line option; --vpi-link <command>
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{8b}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{10f}
@deffn {Option} @w{-}@w{-}vpi@w{-}link <command>
@end deffn
@@ -2155,55 +3684,30 @@ This will execute:
command -Lxxx/lib -lghdlvpi
@end example
-For example:
-
-@example
-ghdl --vpi-link gcc -o vpi1.vpi vpi1.o
-@end example
-
-executes:
-
-@example
-gcc -o vpi1.vpi vpi1.o --shared -Lxxx/lib -lghdlvpi
-@end example
-@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{8c}
+For example, @code{ghdl --vpi-link gcc -o vpi1.vpi vpi1.o} executes @code{gcc -o vpi1.vpi vpi1.o --shared -Lxxx/lib -lghdlvpi}.
+@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{110}
@geindex cmd VPI cflags
-@node cflags [--vpi-cflags],ldflags [--vpi-ldflags],link [--vpi-link],VPI build commands
-@anchor{using/InvokingGHDL cflags-vpi-cflags}@anchor{8d}
-@subsection cflags [@code{--vpi-cflags}]
-
-
@geindex ghdl command line option; --vpi-cflags
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{8e}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{111}
@deffn {Option} @w{-}@w{-}vpi@w{-}cflags
@end deffn
-Display flags added by @ref{88,,--vpi-compile}.
+Display flags added by @ref{10d,,--vpi-compile}.
@geindex cmd VPI ldflags
-@node ldflags [--vpi-ldflags],include dir [--vpi-include-dir],cflags [--vpi-cflags],VPI build commands
-@anchor{using/InvokingGHDL ldflags-vpi-ldflags}@anchor{8f}
-@subsection ldflags [@code{--vpi-ldflags}]
-
-
@geindex ghdl command line option; --vpi-ldflags
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{90}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{112}
@deffn {Option} @w{-}@w{-}vpi@w{-}ldflags
@end deffn
-Display flags added by @ref{8b,,--vpi-link}.
+Display flags added by @ref{10f,,--vpi-link}.
@geindex cmd VPI include dir
-@node include dir [--vpi-include-dir],library dir [--vpi-library-dir],ldflags [--vpi-ldflags],VPI build commands
-@anchor{using/InvokingGHDL include-dir-vpi-include-dir}@anchor{91}
-@subsection include dir [@code{--vpi-include-dir}]
-
-
@geindex ghdl command line option; --vpi-include-dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{92}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{113}
@deffn {Option} @w{-}@w{-}vpi@w{-}include@w{-}dir
@end deffn
@@ -2211,24 +3715,33 @@ Display the include directory added by the compile flags.
@geindex cmd VPI library dir
-@node library dir [--vpi-library-dir],,include dir [--vpi-include-dir],VPI build commands
-@anchor{using/InvokingGHDL library-dir-vpi-library-dir}@anchor{93}
-@subsection library dir [@code{--vpi-library-dir}]
-
-
@geindex ghdl command line option; --vpi-library-dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{94}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{114}
@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir
@end deffn
Display the library directory added by the link flags.
-@node IEEE library pitfalls,,VPI build commands,Invoking GHDL
-@anchor{using/InvokingGHDL id2}@anchor{95}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{14}
+@geindex ghdl command line option; --vpi-library-dir-unix
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir-unix}@anchor{115}
+@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir@w{-}unix
+@end deffn
+
+Display the library directory added by the link flags, forcing UNIX syntax.
+
+@node IEEE library pitfalls,,VPI/VHPI build commands,Invoking GHDL
+@anchor{using/InvokingGHDL id1}@anchor{116}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{67}
@section IEEE library pitfalls
-When you use options @code{--ieee=synopsys} or @code{--ieee=mentor}, the @code{ieee} library contains non standard packages such as @code{std_logic_arith}. These packages are not standard because there are not described by an IEEE standard, even if they have been put in the @cite{IEEE} library. Furthermore, they are not really de-facto standard, because there are slight differences between the packages of Mentor and those of Synopsys. Furthermore, since they are not well thought out, their use has pitfalls. For example, this description has an error during compilation:
+When you use options @ref{83,,--ieee=synopsys}, the @code{ieee} library contains non standard packages such as
+@code{std_logic_arith}.
+These packages are not standard because there are not described by an IEEE standard, even if they have been put in the
+@cite{IEEE} library.
+Furthermore, they are not really de-facto standard, because there are slight differences between the packages of Mentor
+and those of Synopsys.
+Furthermore, since they are not well thought out, their use has pitfalls.
+For example, this description has an error during compilation:
@example
library ieee;
@@ -2278,12 +3791,17 @@ bad_counter.vhdl:13:14: possible interpretations are:
../translate/ghdldrv/ghdl: compilation error
@end example
-Indeed, the @cite{“=”} operator is defined in both packages, and both are visible at the place it is used. The first declaration is an implicit one, which occurs when the @cite{std_logic_vector} type is declared and is an element to element comparison. The second one is an explicit declared function, with the semantics of an unsigned comparison.
+Indeed, the @cite{“=”} operator is defined in both packages, and both are visible at the place it is used.
+The first declaration is an implicit one, which occurs when the @cite{std_logic_vector} type is declared and is an element to
+element comparison.
+The second one is an explicit declared function, with the semantics of an unsigned comparison.
-With some analysers, the explicit declaration has priority over the implicit declaration, and this design can be analyzed without error. However, this is not the rule given by the VHDL LRM, and since GHDL follows these rules,
-it emits an error.
+With some analysers, the explicit declaration has priority over the implicit declaration, and this design can be
+analyzed without error.
+However, this is not the rule given by the VHDL LRM, and since GHDL follows these rules, it emits an error.
-You can force GHDL to use this rule with the @emph{-fexplicit} option (see @ref{39,,Options} for further details). However it is easy to fix this error, by using a selected name:
+You can force GHDL to use this rule with the @emph{-fexplicit} option (see @ref{bf,,Options} for further details).
+However it is easy to fix this error, by using a selected name:
@example
library ieee;
@@ -2342,12 +3860,15 @@ end good;
@geindex Math_Complex
@cartouche
-@quotation Hint
-The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provided with @cite{GHDL} are fully compliant with the @cite{IEEE} standard.
+@quotation Hint
+The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provided with @cite{GHDL} are fully compliant with the
+@cite{IEEE} standard.
@end quotation
@end cartouche
-@c # preload commonly known graphical characters like (c)
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -2356,61 +3877,95 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c This data file has been placed in the public domain.
-@node Simulation and runtime,Interfacing to other languages,Invoking GHDL,Top
-@anchor{using/Simulation doc}@anchor{96}@anchor{using/Simulation simulation-and-runtime}@anchor{97}@anchor{using/Simulation using-simulation}@anchor{3e}
-@chapter Simulation and runtime
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Simulation runtime,Synthesis,Invoking GHDL,Top
+@anchor{using/Simulation doc}@anchor{117}@anchor{using/Simulation simulation-runtime}@anchor{118}@anchor{using/Simulation using-simulation}@anchor{c4}
+@chapter Simulation (runtime)
@menu
-* Simulation options::
-* Export waveforms::
-* Export hierarchy and references::
-* Debugging::
+* Simulation options::
+* Export waveforms::
+* Export hierarchy and references::
@end menu
-@node Simulation options,Export waveforms,,Simulation and runtime
-@anchor{using/Simulation id1}@anchor{98}@anchor{using/Simulation simulation-options}@anchor{2f}
+@node Simulation options,Export waveforms,,Simulation runtime
+@anchor{using/Simulation id1}@anchor{119}@anchor{using/Simulation simulation-options}@anchor{9a}
@section Simulation options
-In most system environments, it is possible to pass options while
+In most system environments, it is possible to pass CLI options while
invoking a program. Contrary to most programming languages, there is no
standard method in VHDL to obtain the arguments or to set the exit
status.
+However, the GHDL runtime behaviour can be modified with some options:
-However, the GHDL runtime behaviour can be modified with some options. For
-example, it is possible to pass parameters to your design through the generic
-interfaces of the top entity. It is also possible to stop simulation after a
-certain time.
-The exit status of the simulation is @code{EXIT_SUCCESS} (0) if the
-simulation completes, or @code{EXIT_FAILURE} (1) in case of error
-(assertion failure, overflow or any constraint error).
+@itemize -
-Here is the list of the most useful options. Some debugging options are
-also available, but not described here. The @ref{99,,--help} option lists
-all options available, including the debugging ones.
+@item
+It is possible to pass parameters to your design through the generic
+interfaces of the top entity.
+
+@item
+It is also possible to stop simulation after a certain time. The exit
+status of the simulation is @code{EXIT_SUCCESS} (@code{0}) if the simulation
+completes, or @code{EXIT_FAILURE} (@code{1}) in case of error (assertion
+failure, overflow or any constraint error).
+@end itemize
+
+Here is the list of the most useful options. For further info, see @ref{11a,,Debugging}.
+
+@cartouche
+@quotation Hint
+Note that these arguments are represented as @code{simulation_options...} in this documentation.
+For analysis/elaboration options, see @ref{5f,,Invoking GHDL}.
+@end quotation
+@end cartouche
@geindex ghdl command line option; -gGENERIC=VALUE
-@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{9a}
+@anchor{using/Simulation cmdoption-ghdl-gGENERIC}@anchor{11b}@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{11c}
@deffn {Option} @w{-}gGENERIC=VALUE
Set value @cite{VALUE} to generic with name @cite{GENERIC}.
+Example:
+
+@example
+$ ghdl -r --std=08 my_unit -gDEPTH=12
+@end example
+
@cartouche
-@quotation Warning
-This is currently a run option; but in the future it will be deprecated to
-become an elaboration option only.
+@quotation Note
+This is currently a run option; but in the (not near) future it might be deprecated to
+become an elaboration option only. As a result, now you can generate a single binary and
+execute it multiple times with different arguments. That might not be possible in the future.
+
+As explained in @ref{8c,,-e}, performing a complete elaboration in terms of the LRM requires
+to get rid of the compile and link model. This is mostly because delaying certain elaboration
+steps to the runtime prevents elaboration-time optimisions.
+@end quotation
+@end cartouche
+
+@cartouche
+@quotation Hint
+Currently, GHDL has limited support for generic types in the CLI. It is suggested to use strings or integers. Nonetheless, project JSON-for-VHDL@footnote{https://github.com/Paebbels/JSON-for-VHDL} allows to encode a set of parameters as stringified JSON, and it provides VHDL functions to read specific values from it. It is valid for synthesis.
@end quotation
@end cartouche
@end deffn
-@geindex ghdl command line option; --assert-level<=LEVEL>
-@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{9b}
-@deffn {Option} @w{-}@w{-}assert@w{-}level<=LEVEL>
+@geindex ghdl command line option; --assert-level=<LEVEL>
+@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{a0}
+@deffn {Option} @w{-}@w{-}assert@w{-}level=<LEVEL>
Select the assertion level at which an assertion violation stops the
simulation. @cite{LEVEL} is the name from the @cite{severity_level}
@@ -2425,26 +3980,48 @@ with severity level @code{warning}, @code{error} or @code{failure} would
stop simulation, but the assertion violation at the @code{note} severity
level would only display a message.
-Option @code{--assert-level=none} prevents any assertion violation from stopping
-simulation.
+Option @ref{a0,,--assert-level=none} prevents any
+assertion violation from stopping simulation.
+@end deffn
+
+@geindex ghdl command line option; --backtrace-severity=<LEVEL>
+@anchor{using/Simulation cmdoption-ghdl-backtrace-severity}@anchor{11d}
+@deffn {Option} @w{-}@w{-}backtrace@w{-}severity=<LEVEL>
+
+Select the assertion level at which an assertion violation display a
+backtrace (if available).
+
+This is useful when the assertion is generated by a function (like
+assertions in @code{ieee.numeric_std}) whose location is not very
+useful.
+@end deffn
+
+@geindex ghdl command line option; --ieee-asserts=<POLICY>
+@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{11e}
+@deffn {Option} @w{-}@w{-}ieee@w{-}asserts=<POLICY>
@end deffn
-@geindex ghdl command line option; --ieee-asserts<=POLICY>
-@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{9c}
-@deffn {Option} @w{-}@w{-}ieee@w{-}asserts<=POLICY>
+@geindex ghdl command line option; --asserts=<POLICY>
+@anchor{using/Simulation cmdoption-ghdl-asserts}@anchor{11f}
+@deffn {Option} @w{-}@w{-}asserts=<POLICY>
-Select how the assertions from @code{ieee} units are
-handled. @cite{POLICY} can be @code{enable} (the default),
-@code{disable} which disables all assertions from @code{ieee} packages
-and @code{disable-at-0} which disables only at the start of simulation.
+Select how assertions are handled. @cite{POLICY} can be @code{enable} (the
+default), @code{disable} which disables all assertions and
+@code{disable-at-0} which disables only at the start of simulation.
-This option can be useful to avoid assertion messages from
+The @code{--ieee-asserts} applies only to assertions from @code{ieee}
+package. This option can be useful to avoid assertion messages from
@code{ieee.numeric_std} (and other @code{ieee} packages).
+
+The @code{--asserts} option applies to all assertions, including those
+from the @code{ieee} units. The behaviour of the latter can be
+overridden by using the @code{--ieee-asserts} option after the
+@code{--asserts} option.
@end deffn
-@geindex ghdl command line option; --stop-time<=TIME>
-@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{9d}
-@deffn {Option} @w{-}@w{-}stop@w{-}time<=TIME>
+@geindex ghdl command line option; --stop-time=<TIME>
+@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{120}
+@deffn {Option} @w{-}@w{-}stop@w{-}time=<TIME>
Stop the simulation after @code{TIME}. @code{TIME} is expressed as a time
value, @emph{without} any space. The time is the simulation time, not
@@ -2458,9 +4035,9 @@ $ ./my_design --stop-time=ps
@end example
@end deffn
-@geindex ghdl command line option; --stop-delta<=N>
-@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{9e}
-@deffn {Option} @w{-}@w{-}stop@w{-}delta<=N>
+@geindex ghdl command line option; --stop-delta=<N>
+@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{121}
+@deffn {Option} @w{-}@w{-}stop@w{-}delta=<N>
Stop the simulation after @cite{N} delta cycles in the same current
time. The default is 5000.
@@ -2469,30 +4046,30 @@ time. The default is 5000.
@end deffn
@geindex ghdl command line option; --disp-time
-@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{9f}
+@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{122}
@deffn {Option} @w{-}@w{-}disp@w{-}time
Display the time and delta cycle number as simulation advances.
@end deffn
@geindex ghdl command line option; --unbuffered
-@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{a0}
+@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{123}
@deffn {Option} @w{-}@w{-}unbuffered
Disable buffering on stdout, stderr and files opened in write or append mode (TEXTIO).
@end deffn
-@geindex ghdl command line option; --max-stack-alloc<=N>
-@anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{a1}
-@deffn {Option} @w{-}@w{-}max@w{-}stack@w{-}alloc<=N>
+@geindex ghdl command line option; --max-stack-alloc=<N>
+@anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{124}
+@deffn {Option} @w{-}@w{-}max@w{-}stack@w{-}alloc=<N>
Emit an error message in case of allocation on the stack of an
object larger than @cite{N} KB. Use 0 to disable these checks.
@end deffn
-@geindex ghdl command line option; --sdf<=PATH=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{a2}
-@deffn {Option} @w{-}@w{-}sdf<=PATH=FILENAME>
+@geindex ghdl command line option; --sdf=<PATH=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{125}
+@deffn {Option} @w{-}@w{-}sdf=<PATH=FILENAME>
Do VITAL annotation on @cite{PATH} with SDF file @code{FILENAME}.
@@ -2513,41 +4090,89 @@ If the option contains a type of delay, that is @code{min=},
typical or maximum values. If the option does not contain a type of delay,
the annotator uses the typical delay.
-See section @ref{a3,,Backannotation}, for more details.
+See section @ref{126,,Backannotation}, for more details.
+@end deffn
+
+@geindex ghdl command line option; --vpi=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{127}
+@deffn {Option} @w{-}@w{-}vpi=<FILENAME>
+
+Load VPI library. This option can be used multiple times to load different libraries.
+
+Any registration functions in the @code{vlog_startup_routines} array in the library will be called:
+
+@example
+void (*vlog_startup_routines[]) () = @{
+ my_handle_register,
+ 0
+@};
+@end example
@end deffn
-@geindex ghdl command line option; --vpi<=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{a4}
-@deffn {Option} @w{-}@w{-}vpi<=FILENAME>
+@geindex ghdl command line option; --vpi-trace[=<FILENAME>]
+@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{128}
+@deffn {Option} @w{-}@w{-}vpi@w{-}trace[=<FILENAME>]
-Load VPI module.
+Trace vpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
@end deffn
-@geindex ghdl command line option; --vpi-trace<=FILE>
-@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{a5}
-@deffn {Option} @w{-}@w{-}vpi@w{-}trace<=FILE>
+@geindex ghdl command line option; --vhpi=<FILENAME>[;<ENTRYPOINT>]
+@anchor{using/Simulation cmdoption-ghdl-vhpi}@anchor{129}
+@deffn {Option} @w{-}@w{-}vhpi=<FILENAME>[:<ENTRYPOINT>]
-Trace vpi calls to FILE.
+Load VHPI library. This option can be used multiple times to load different libraries.
+
+If an @code{ENTRYPOINT} registration function is provided, it will be called.
+Otherwise, any registration functions in the @code{vhpi_startup_routines} array in the library will be called:
+
+@example
+void (*vhpi_startup_routines[])() = @{
+ register_foreign_app,
+ register_foreign_func,
+ 0
+@};
+@end example
+@end deffn
+
+@geindex ghdl command line option; --vhpi-trace[=<FILENAME>]
+@anchor{using/Simulation cmdoption-ghdl-vhpi-trace}@anchor{12a}
+@deffn {Option} @w{-}@w{-}vhpi@w{-}trace[=<FILENAME>]
+
+Trace vhpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
@end deffn
@geindex ghdl command line option; --help
-@anchor{using/Simulation cmdoption-ghdl-help}@anchor{99}
+@anchor{using/Simulation cmdoption-ghdl-help}@anchor{12b}
@deffn {Option} @w{-}@w{-}help
Display a short description of the options accepted by the runtime library.
@end deffn
-@node Export waveforms,Export hierarchy and references,Simulation options,Simulation and runtime
-@anchor{using/Simulation export-waveforms}@anchor{a6}@anchor{using/Simulation export-waves}@anchor{2e}
+@geindex ghdl command line option; --no-run
+@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{c2}
+@deffn {Option} @w{-}@w{-}no@w{-}run
+
+Stop the simulation before the first cycle. This option actually elaborates the design, so it will catch any bound error in port maps. See also @ref{8c,,-e}.
+
+This may be used with @ref{12c,,--disp-tree} to display the tree without simulating the whole design.
+@end deffn
+
+@node Export waveforms,Export hierarchy and references,Simulation options,Simulation runtime
+@anchor{using/Simulation export-waveforms}@anchor{12d}@anchor{using/Simulation export-waves}@anchor{91}
@section Export waveforms
+@cartouche
+@quotation Note
+All the waveform formats supported by GHDL are also supported by GTKWave@footnote{http://gtkwave.sourceforge.net/}.
+@end quotation
+@end cartouche
+
@geindex ghdl command line option; --read-wave-opt=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{a7}
+@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{12e}
@deffn {Option} @w{-}@w{-}read@w{-}wave@w{-}opt=<FILENAME>
-Filter signals to be dumped to the wave file according to the wave option
-file provided.
+Filter signals to be dumped to the wave file according to the wave option file provided.
Here is a description of the wave option file format currently supported
@@ -2581,22 +4206,21 @@ my_pkg.global_signal_a
@end deffn
@geindex ghdl command line option; --write-wave-opt=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{a8}
+@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{12f}
@deffn {Option} @w{-}@w{-}write@w{-}wave@w{-}opt=<FILENAME>
-If the wave option file doesn’t exist, creates it with all the signals of
-the design. Otherwise throws an error, because it won’t erase an existing
-file.
+If the wave option file doesn’t exist, creates it with all the signals of the design.
+Otherwise throws an error, because it won’t erase an existing file.
@end deffn
-@geindex ghdl command line option; --vcd<=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{a9}
-@deffn {Option} @w{-}@w{-}vcd<=FILENAME>
+@geindex ghdl command line option; --vcd=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{93}
+@deffn {Option} @w{-}@w{-}vcd=<FILENAME>
@end deffn
-@geindex ghdl command line option; --vcdgz<=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{aa}
-@deffn {Option} @w{-}@w{-}vcdgz<=FILENAME>
+@geindex ghdl command line option; --vcdgz=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{94}
+@deffn {Option} @w{-}@w{-}vcdgz=<FILENAME>
@geindex vcd
@@ -2604,309 +4228,538 @@ file.
@geindex dump of signals
-Option @code{--vcd} dumps into the VCD file @cite{FILENAME} the signal
-values before each non-delta cycle. If @cite{FILENAME} is @code{-},
-then the standard output is used, otherwise a file is created or
-overwritten.
+Option @ref{93,,--vcd} dumps into the VCD file @cite{FILENAME} the signal values before each non-delta cycle.
+If @cite{FILENAME} is @code{-}, then the standard output is used, otherwise a file is created or overwritten.
-The @code{--vcdgz} option is the same as the @emph{–vcd} option,
-but the output is compressed using the @cite{zlib} (@cite{gzip}
-compression). However, you can’t use the @code{-} filename.
+The @ref{94,,--vcdgz} option is the same as the @ref{93,,--vcd} option, but the output is compressed using the @cite{zlib}
+(@cite{gzip} compression).
+However, you can’t use the @code{-} filename.
Furthermore, only one VCD file can be written.
-@emph{VCD} (value change dump) is a file format defined
-by the @cite{verilog} standard and used by virtually any wave viewer.
-
-Since it comes from @cite{verilog}, only a few VHDL types can be dumped. GHDL
-dumps only signals whose base type is of the following:
+@emph{VCD} (value change dump) is a file format defined by the @cite{verilog} standard and used by virtually any wave
+viewer.
+Since it comes from @cite{verilog}, only a few VHDL types can be dumped.
+GHDL dumps only signals whose base type is of the following:
@itemize *
-@item
+@item
types defined in the @code{std.standard} package:
@itemize *
-@item
+@item
@code{bit}
-@item
+@item
@code{bit_vector}
@end itemize
-@item
+@item
types defined in the @code{ieee.std_logic_1164} package:
@itemize *
-@item
+@item
@code{std_ulogic}
-@item
+@item
@code{std_logic} (because it is a subtype of @code{std_ulogic})
-@item
+@item
@code{std_ulogic_vector}
-@item
+@item
@code{std_logic_vector}
@end itemize
-@item
+@item
any integer type
@end itemize
-I have successfully used @cite{gtkwave} to view VCD files.
-
-Currently, there is no way to select signals to be dumped: all signals are
-dumped, which can generate big files.
-
-It is very unfortunate there is no standard or well-known wave file
-format supporting VHDL types. If you are aware of such a free format,
-please mail me (@ref{13,,Reporting bugs}).
+@cartouche
+@quotation Note
+It is very unfortunate there is no standard or well-known wave file format supporting VHDL types.
+If you are aware of such a free format, please @ref{64,,let us know}!
+@end quotation
+@end cartouche
@end deffn
@geindex ghdl command line option; --vcd-nodate
-@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{ab}
+@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{130}
@deffn {Option} @w{-}@w{-}vcd@w{-}nodate
Do not write date in the VCD file.
@end deffn
-@geindex ghdl command line option; --fst<=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{ac}
-@deffn {Option} @w{-}@w{-}fst<=FILENAME>
+@geindex ghdl command line option; --fst=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{95}
+@deffn {Option} @w{-}@w{-}fst=<FILENAME>
-Write the waveforms into an @cite{fst} file that can be displayed by
-@cite{gtkwave}. The @cite{fst} files are much smaller than VCD or
-@cite{GHW} files, but it handles only the same signals as the VCD format.
+Write the waveforms into an @cite{fst} file.
+The @cite{fst} files are much smaller than VCD or @cite{GHW} files, but it handles only the same signals as the VCD format.
@end deffn
-@geindex ghdl command line option; --wave<=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{ad}
-@deffn {Option} @w{-}@w{-}wave<=FILENAME>
-
-Write the waveforms into a @cite{ghw} (GHdl Waveform) file. Currently, all
-the signals are dumped into the waveform file, you cannot select a hierarchy
-of signals to be dumped.
-
-The format of this file was defined by myself and is not yet completely fixed.
-It may change slightly. The @code{gtkwave} tool can read the GHW files.
+@geindex ghdl command line option; --wave=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{92}
+@deffn {Option} @w{-}@w{-}wave=<FILENAME>
+Write the waveforms into a @ref{131,,GHDL Waveform (GHW)} file.
Contrary to VCD files, any VHDL type can be dumped into a GHW file.
@end deffn
-@node Export hierarchy and references,Debugging,Export waveforms,Simulation and runtime
-@anchor{using/Simulation export-hierarchy-and-references}@anchor{ae}
+@node Export hierarchy and references,,Export waveforms,Simulation runtime
+@anchor{using/Simulation export-hierarchy-and-references}@anchor{132}
@section Export hierarchy and references
-@geindex ghdl command line option; --disp-tree<[=KIND]>
-@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{af}
-@deffn {Option} @w{-}@w{-}disp@w{-}tree<[=KIND]>
+@geindex ghdl command line option; --disp-tree=<KIND>
+@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{12c}
+@deffn {Option} @w{-}@w{-}disp@w{-}tree=<KIND>
@geindex display design hierarchy
Display the design hierarchy as a tree of instantiated design entities.
-This may be useful to understand the structure of a complex
-design. @cite{KIND} is optional, but if set must be one of:
+This may be useful to understand the structure of a complex design.
+@cite{KIND} is optional, but if set must be one of:
@itemize *
-@item
+@item
@code{none} Do not display hierarchy. Same as if the option was not present.
-@item
+@item
@code{inst} Display entities, architectures, instances, blocks and generates statements.
-@item
+@item
@code{proc} Like @code{inst} but also display processes.
-@item
+@item
@code{port} Like @code{proc} but display ports and signals too.
-If @cite{KIND} is not specified, the hierarchy is displayed with the
-@code{port} mode.
+If @cite{KIND} is not specified, the hierarchy is displayed with the @code{port} mode.
@end itemize
@end deffn
-@geindex ghdl command line option; --no-run
-@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{b0}
-@deffn {Option} @w{-}@w{-}no@w{-}run
+@geindex ghdl command line option; --xref-html [options] files...
+@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{e2}
+@deffn {Option} @w{-}@w{-}xref@w{-}html [options] files...
+
+To easily navigate through your sources, you may generate cross-references.
+This command generates an html file for each @code{file} given in the command line, with syntax highlighting and full
+cross-reference: every identifier is a link to its declaration.
+An index of the files is created too.
-Stop the simulation before the first cycle. This may be used with @code{--disp-tree} to display the tree without simulating the whole design. This option actually elaborates the design, so it will catch any bound error in port maps.
+The set of @code{files} are analyzed, and then, if the analysis is successful, html files are generated in the directory
+specified by the @code{-o <DIR>} option, or @code{html/} directory by default.
+The style of the html file can be modified with the @ref{e0,,--format} option.
@end deffn
-@geindex ghdl command line option; --xref-html <[options] file...>
-@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{b1}
-@deffn {Option} @w{-}@w{-}xref@w{-}html <[options] file...>
+@geindex ghdl command line option; --psl-report=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{133}
+@deffn {Option} @w{-}@w{-}psl@w{-}report=<FILENAME>
-To easily navigate through your sources, you may generate cross-references. This command generates an html file for each @code{file} given in the command line, with syntax highlighting and full cross-reference: every identifier is a link to its declaration. An index of the files is created too.
+Write a report for PSL at the end of simulation.
+For each PSL cover and assert statements, the name, source location and whether it passed or failed is reported.
+The file is written using the JSON format, but is still human readable.
+@end deffn
-The set of @code{file} are analyzed, and then, if the analysis is successful, html files are generated in the directory specified by the @code{-o} option, or @code{html/} directory by default.
+@geindex ghdl command line option; --psl-report-uncovered
+@anchor{using/Simulation cmdoption-ghdl-psl-report-uncovered}@anchor{134}
+@deffn {Option} @w{-}@w{-}psl@w{-}report@w{-}uncovered
+Reports warning for each uncovered PSL cover point when simulation ends.
+@end deffn
-@itemize *
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@item
-If the option @code{--format=html2} is specified, then the generated html files follow the HTML 2.0 standard, and colours are specified with @cite{<FONT>} tags. However, colours are hard-coded.
+@c This data file has been placed in the public domain.
-@item
-If the option @code{--format=css} is specified, then the generated html files follow the HTML 4.0 standard, and use the CSS-1 file @code{ghdl.css} to specify colours. This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user to change colours or appearance. Refer to a generated file and its comments for more information.
-@end itemize
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@geindex ghdl command line option; --psl-report<=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{b2}
-@deffn {Option} @w{-}@w{-}psl@w{-}report<=FILENAME>
+@c This data file has been placed in the public domain.
-Write a report for PSL at the end of simulation. For each PSL cover and assert statements, the name, source location and whether it passed or failed is reported. The file is written using the JSON format, but is still human readable.
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Synthesis,Additional Command Reference,Simulation runtime,Top
+@anchor{using/Synthesis doc}@anchor{135}@anchor{using/Synthesis synthesis}@anchor{136}@anchor{using/Synthesis using-synthesis}@anchor{44}
+@chapter Synthesis
+
+
+@cartouche
+@quotation Warning
+This is experimental and work in progress! If you find crashes or unsupported features, please
+@ref{64,,report them}!
+@end quotation
+@end cartouche
+
+Since @code{v0.37}, GHDL features a built-in (experimental) synthesis kernel with two backends: @code{synth} and
+@code{yosys-plugin}.
+Currently, synthesis is supported as a front-end of other synthesis and technology mapping tools.
+Hence, the netlists generated by GHDL are not optimised.
+
+@geindex synthesis command
+
+@menu
+* Synthesis [--synth]::
+* Synthesis options::
+* Yosys plugin::
+
+@end menu
+
+@node Synthesis [--synth],Synthesis options,,Synthesis
+@anchor{using/Synthesis synth-command}@anchor{137}@anchor{using/Synthesis synthesis-synth}@anchor{138}
+@section Synthesis [@code{--synth}]
+
+
+This command is useful for checking that a design can be synthesized, before actually running a complete synthesis
+tool. In fact, because this is expected to be much faster, it can be used as a frequent check.
+
+Moreover, since GHDL’s front-end supports multiple versions of the standard, but the synthesised netlists are generated
+using a subset of VHDL 1993, GHDL’s synthesis features can be used as a preprocessor with tools that do support older
+versions of the standard, but which don’t provide the most recent features.
+
+Currently, the default output is a generic netlist using a (very simple) subset of VHDL 1993.
+See @ref{139,,--out} and #1174@footnote{https://github.com/ghdl/ghdl/issues/1174} for on-going discussion about other output formats.
+
+@geindex ghdl command line option; --synth <[options...] [library.]top_unit [arch]>
+@anchor{using/Synthesis cmdoption-ghdl-synth}@anchor{13a}
+@deffn {Option} @w{-}@w{-}synth <[options...] [library.]top_unit [arch]>
+
+Elaborates for synthesis the design whose top unit is indicated by @code{[library.]top_unit [arch]}.
+
+@cartouche
+@quotation Attention
+All the units must have been analyzed; that is, the artifacts of previously executed @ref{be,,-a} calls must exist.
+@end quotation
+@end cartouche
@end deffn
-@geindex ghdl command line option; --file-to-xml
-@anchor{using/Simulation cmdoption-ghdl-file-to-xml}@anchor{b3}
-@deffn {Option} @w{-}@w{-}file@w{-}to@w{-}xml
+@geindex ghdl command line option; --synth <[options...] file... -e [top_unit [arch]]>
+@anchor{using/Synthesis cmdoption-ghdl-0}@anchor{65}
+@deffn {Option} @w{-}@w{-}synth <[options...] file... @w{-}e [top_unit [arch]]>
+
+Analyses and elaborates for synthesis the files present on the command line only.
+Elaboration starts from the top unit indicated by @code{[top_unit [arch]]}.
+If no top unit is specified, GHDL will try guessing it and a note will be printed.
-Outputs an XML representation of the decorated syntax tree for the input file and its dependencies. It can be used for VHDL tooling using semantic information, like style checkers, documentation extraction, complexity estimation, etc.
+@cartouche
+@quotation Important
+Files can be provided in any order, but @code{-e} must be provided after them.
+That is, @code{--synth <[options...] files...>} is NOT supported.
+This is because we want to unambiguously tell files and the unit specification apart.
+We don’t want to rely on parsing the items and guessing whether we are dealing with files or a top unit name.
+In corner cases, a filename might exist which matches the name of a primary unit: @code{ghdl synth name}.
+@end quotation
+@end cartouche
@end deffn
+@node Synthesis options,Yosys plugin,Synthesis [--synth],Synthesis
+@anchor{using/Synthesis id1}@anchor{13b}@anchor{using/Synthesis synthesis-options}@anchor{13c}
+@section Synthesis options
+
+
@cartouche
-@quotation Warning
+@quotation Hint
+Multiple pragmas are supported for preventing blocks of code from being synthesized:
+
+@code{-- pragma|synopsys|synthesis (synthesis|translate)( |_)(on|off)}
+
+For example:
+
@itemize *
-@item
-The AST slightly changes from time to time (particularly when new nodes are added for new language features), so be liberal in what is allowed by your tool. Also, the XML can be quite large so consider it only during prototyping.
+@item
+@code{-- pragma translate off}
-@item
-Note that at this time there is no XML dump of the elaborated design.
+@item
+@code{-- synthesis translate_on}
+
+@item
+@code{-- synopsys synthesis_off}
@end itemize
@end quotation
@end cartouche
-@geindex debugging
+Due to GHDL’s modular architecture (see @ref{13d,,Overview}), the synthesis kernel shares the VHDL parsing front-end
+with the simulation back-ends.
+Hence, available options for synthesis are the same as for analysis and/or simulation elaboration
+(see @ref{bf,,Options}).
+In addition to those options, there are some synthesis specific options.
-@node Debugging,,Export hierarchy and references,Simulation and runtime
-@anchor{using/Simulation debugging}@anchor{b4}
-@section Debugging
+@cartouche
+@quotation Tip
+Furthermore there are lot of debug options available.
+Beware: these debug options should only used for debugging purposes as they aren’t guaranteed to be stable during
+development of GHDL’s synthesis feature.
+You can find them in the file ghdlsynth.adb@footnote{https://github.com/ghdl/ghdl/blob/master/src/ghdldrv/ghdlsynth.adb} in the procedure @code{Decode_Option()}.
+@end quotation
+@end cartouche
+@geindex ghdl command line option; -gNAME=VALUE
+@anchor{using/Synthesis cmdoption-ghdl-gNAME}@anchor{13e}@anchor{using/Synthesis cmdoption-ghdl-gname}@anchor{13f}
+@deffn {Option} @w{-}gNAME=VALUE
-@geindex ghdl command line option; --trace-signals
-@anchor{using/Simulation cmdoption-ghdl-trace-signals}@anchor{b5}
-@deffn {Option} @w{-}@w{-}trace@w{-}signals
+Override top unit generic @cite{NAME} with value @cite{VALUE}.
+Similar to the run-time option @ref{11b,,-gGENERIC}.
-Display signals after each cycle.
+Example:
+
+@example
+$ ghdl --synth --std=08 -gDEPTH=12 [library.]top_unit [arch]
+@end example
@end deffn
-@geindex ghdl command line option; --trace-processes
-@anchor{using/Simulation cmdoption-ghdl-trace-processes}@anchor{b6}
-@deffn {Option} @w{-}@w{-}trace@w{-}processes
+@geindex ghdl command line option; --out=<vhdl|raw-vhdl|verilog|dot|none|raw|dump>
+@anchor{using/Synthesis cmdoption-ghdl-out}@anchor{139}
+@deffn {Option} @w{-}@w{-}out=<vhdl|raw@w{-}vhdl|verilog|dot|none|raw|dump>
-Display process name before each cycle.
-@end deffn
-@geindex ghdl command line option; --stats
-@anchor{using/Simulation cmdoption-ghdl-stats}@anchor{b7}
-@deffn {Option} @w{-}@w{-}stats
+@itemize *
-Display run-time statistics.
-@end deffn
+@item
+@strong{vhdl} @emph{(default)}: equivalent to @code{raw-vhdl}, but the original top-level unit is preserved unmodified, so the
+synthesized design can be simulated with the same testbench.
-@geindex ghdl command line option; --disp-order
-@anchor{using/Simulation cmdoption-ghdl-disp-order}@anchor{b8}
-@deffn {Option} @w{-}@w{-}disp@w{-}order
+@item
+@strong{raw-vhdl}: all statements are converted to a simple VHDL 1993
+netlist, for allowing instantiation in other synthesis tools
+without modern VHDL support.
-Display signals order.
-@end deffn
+@item
+@strong{verilog}: generate a verilog netlist.
-@geindex ghdl command line option; --disp-sources
-@anchor{using/Simulation cmdoption-ghdl-disp-sources}@anchor{b9}
-@deffn {Option} @w{-}@w{-}disp@w{-}sources
+@item
+@strong{dot}: generate a graphviz dot diagram of the netlist AST.
-Display sources while displaying signals.
-@end deffn
+@item
+@strong{none}: perform the synthesis, but do not generate any output; useful for frequent checks.
-@geindex ghdl command line option; --disp-sig-types
-@anchor{using/Simulation cmdoption-ghdl-disp-sig-types}@anchor{ba}
-@deffn {Option} @w{-}@w{-}disp@w{-}sig@w{-}types
+@item
+@strong{raw}: print the internal representation of the design, for debugging purposes.
-Display signal types.
+@item
+@strong{dump}: similar to @code{raw}, with even more internal details for debugging.
+@end itemize
@end deffn
-@geindex ghdl command line option; --disp-signals-map
-@anchor{using/Simulation cmdoption-ghdl-disp-signals-map}@anchor{bb}
-@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}map
+@geindex ghdl command line option; --vendor-library=NAME
+@anchor{using/Synthesis cmdoption-ghdl-vendor-library}@anchor{140}
+@deffn {Option} @w{-}@w{-}vendor@w{-}library=NAME
-Display map bw declared signals and internal signals.
-@end deffn
+Any unit from library NAME is a black box.
-@geindex ghdl command line option; --disp-signals-table
-@anchor{using/Simulation cmdoption-ghdl-disp-signals-table}@anchor{bc}
-@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}table
+Example:
-Display internal signals.
+@example
+$ ghdl --synth --std=08 --vendor-library=vendorlib [library.]top_unit [arch]
+@end example
@end deffn
-@geindex ghdl command line option; --checks
-@anchor{using/Simulation cmdoption-ghdl-checks}@anchor{bd}
-@deffn {Option} @w{-}@w{-}checks
+@menu
+* Assertions@comma{} PSL and formal verification: Assertions PSL and formal verification.
-Do internal checks after each process run.
+@end menu
+
+@node Assertions PSL and formal verification,,,Synthesis options
+@anchor{using/Synthesis assertions-psl-and-formal-verification}@anchor{141}
+@subsection Assertions, PSL and formal verification
+
+
+@geindex ghdl command line option; --no-formal
+@anchor{using/Synthesis cmdoption-ghdl-no-formal}@anchor{142}
+@deffn {Option} @w{-}@w{-}no@w{-}formal
+
+Neither synthesize assert nor PSL.
+
+Example:
+
+@example
+$ ghdl --synth --std=08 --no-formal [library.]top_unit [arch]
+@end example
@end deffn
-@geindex ghdl command line option; --activity<=LEVEL>
-@anchor{using/Simulation cmdoption-ghdl-activity}@anchor{be}
-@deffn {Option} @w{-}@w{-}activity<=LEVEL>
+@geindex ghdl command line option; --no-assert-cover
+@anchor{using/Synthesis cmdoption-ghdl-no-assert-cover}@anchor{143}
+@deffn {Option} @w{-}@w{-}no@w{-}assert@w{-}cover
-Watch activity of LEVEL signals: LEVEL is @code{all}, @code{min} (default) or @code{none} (unsafe).
+Disable automatic cover PSL assertion activation. If this option isn’t used, GHDL generates
+@cite{cover} directives for each @cite{assert} directive (with an implication operator) automatically during synthesis.
+
+Example:
+
+@example
+$ ghdl --synth --std=08 --no-assert-cover [library.]top_unit [arch]
+@end example
@end deffn
-@geindex ghdl command line option; --dump-rti
-@anchor{using/Simulation cmdoption-ghdl-dump-rti}@anchor{bf}
-@deffn {Option} @w{-}@w{-}dump@w{-}rti
+@geindex ghdl command line option; --assert-assumes
+@anchor{using/Synthesis cmdoption-ghdl-assert-assumes}@anchor{144}
+@deffn {Option} @w{-}@w{-}assert@w{-}assumes
-Dump Run Time Information (RTI).
+Treat all PSL asserts like PSL assumes. If this option is used, GHDL generates an @cite{assume} directive
+for each @cite{assert} directive during synthesis. This is similar to the @cite{-assert-assumes}
+option of Yosys’ read_verilog@footnote{http://www.clifford.at/yosys/cmd_read_verilog.html} command.
+
+Example:
+
+@example
+$ ghdl --synth --std=08 --assert-assumes [library.]top_unit [arch]
+@end example
+
+As all PSL asserts are treated like PSL assumes, no @cite{cover} directives are automatically generated for them,
+regardless of using the @ref{143,,--no-assert-cover} or not.
@end deffn
-@geindex ghdl command line option; --bootstrap
-@anchor{using/Simulation cmdoption-ghdl-bootstrap}@anchor{c0}
-@deffn {Option} @w{-}@w{-}bootstrap
+@geindex ghdl command line option; --assume-asserts
+@anchor{using/Synthesis cmdoption-ghdl-assume-asserts}@anchor{145}
+@deffn {Option} @w{-}@w{-}assume@w{-}asserts
-Allow @code{--work=std}
+Treat all PSL assumes like PSL asserts. If this option is used, GHDL generates an @cite{assert} directive
+for each @cite{assume} directive during synthesis. This is similar to the @cite{-assume-asserts}
+option of Yosys’ read_verilog@footnote{http://www.clifford.at/yosys/cmd_read_verilog.html} command.
+
+Example:
+
+@example
+$ ghdl --synth --std=08 --assume-asserts [library.]top_unit [arch]
+@end example
+
+@cite{cover} directives are automatically generated for the resulting asserts (with an implication operator)
+if @ref{143,,--no-assert-cover} isn’t used.
@end deffn
+@node Yosys plugin,,Synthesis options,Synthesis
+@anchor{using/Synthesis synth-plugin}@anchor{66}@anchor{using/Synthesis yosys-plugin}@anchor{146}
+@section Yosys plugin
+
+
+ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin} is a module to use GHDL as a VHDL front-end for Yosys Open Synthesis Suite@footnote{http://www.clifford.at/yosys/}, a framework for optimised synthesis and technology mapping.
+Artifacts generated by Yosys can be used in multiple open source and vendor tools to achieve P&R, formal verification,
+etc. A relevant feature of combining GHDL and Yosys is that mixed-language (VHDL-Verilog) synthesis with open source
+tools is possible.
+
+The command line syntax for this plugin is the same as for @ref{65,,--synth}, except that the command name (@code{--synth})
+is neither required nor supported.
+Instead, @code{yosys}, @code{yosys -m ghdl} or @code{yosys -m path/to/ghdl.so} need to be used, depending of how is the plugin
+built.
+See ghdl/ghdl-yosys-plugin: README@footnote{https://github.com/ghdl/ghdl-yosys-plugin} for building and installation
+guidelines.
+
+@cartouche
+@quotation Hint
+ghdl-yosys-plugin is a thin layer that converts the internal representation of @ref{65,,--synth} to Yosys’ C API.
+Hence, it is suggested to check the designs with @ref{65,,--synth} before running synthesis with Yosys.
+@end quotation
+@end cartouche
+
@menu
-* GNU Debugger (GDB): GNU Debugger GDB.
+* Convert (V)HDL to other formats: Convert V HDL to other formats.
@end menu
-@node GNU Debugger GDB,,,Debugging
-@anchor{using/Simulation gnu-debugger-gdb}@anchor{c1}
-@subsection GNU Debugger (GDB)
+@node Convert V HDL to other formats,,,Yosys plugin
+@anchor{using/Synthesis convert-v-hdl-to-other-formats}@anchor{147}
+@subsection Convert (V)HDL to other formats
-@geindex `__ghdl_fatal`
+Yosys provides @code{write_*} commands for generating output netlists in different formats. Therefore, VHDL and/or Verilog
+sources can be converted to EDIF, SMT, BTOR2, etc.
@cartouche
-@quotation Warning
-Debugging VHDL programs using @cite{GDB} is possible only with GCC/LLVM.
+@quotation Hint
+For a comprehensive list of supported output formats (AIGER, BLIF, ILANG, JSON…), check out the
+Yosys documentation@footnote{http://www.clifford.at/yosys/documentation.html}.
@end quotation
@end cartouche
-GDB is a general purpose debugger for programs compiled by GCC. Currently, there is no VHDL support for GDB. It may be difficult to inspect variables or signals in GDB. However, it is still able to display the stack frame in case of error or to set a breakpoint at a specified line.
+@menu
+* To Verilog::
+* To EDIF::
+* To SMT::
+* To BTOR2::
+* To FIRRTL::
+* To VHDL::
+
+@end menu
+
+@node To Verilog,To EDIF,,Convert V HDL to other formats
+@anchor{using/Synthesis to-verilog}@anchor{148}
+@subsubsection To Verilog
-GDB can be useful to catch a runtime error, such as indexing an array beyond its bounds. All error check subprograms call the @code{__ghdl_fatal} procedure. Therefore, to a catch runtime error, set a breakpoint like this:
@example
-(gdb) break __ghdl_fatal
+yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_verilog filename.v'
@end example
-When the breakpoint is hit, use the @code{where} or @code{bt} command to display the stack frames.
+@node To EDIF,To SMT,To Verilog,Convert V HDL to other formats
+@anchor{using/Synthesis to-edif}@anchor{149}
+@subsubsection To EDIF
+
+
+@example
+yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_edif filename.edif'
+@end example
+
+@node To SMT,To BTOR2,To EDIF,Convert V HDL to other formats
+@anchor{using/Synthesis to-smt}@anchor{14a}
+@subsubsection To SMT
+
+
+@example
+yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_smt2 filename.smt2'
+@end example
+
+@node To BTOR2,To FIRRTL,To SMT,Convert V HDL to other formats
+@anchor{using/Synthesis to-btor2}@anchor{14b}
+@subsubsection To BTOR2
+
+
+@example
+yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_btor filename.btor'
+@end example
+
+@node To FIRRTL,To VHDL,To BTOR2,Convert V HDL to other formats
+@anchor{using/Synthesis to-firrtl}@anchor{14c}
+@subsubsection To FIRRTL
+
+
+@example
+yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_firrtl filename.firrtl'
+@end example
+
+@node To VHDL,,To FIRRTL,Convert V HDL to other formats
+@anchor{using/Synthesis to-vhdl}@anchor{14d}
+@subsubsection To VHDL
+
+
+There is work in progress in ghdl/ghdl-yosys-plugin#122@footnote{https://github.com/ghdl/ghdl-yosys-plugin/pull/122} for adding
+a @code{write_vhdl} command to Yosys. That is the complement of what ghdl-yosys-plugin provides.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@c # preload commonly known graphical characters like (c)
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -2915,391 +4768,440 @@ When the breakpoint is hit, use the @code{where} or @code{bt} command to display
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@c FOREIGN:
+@node Additional Command Reference,Implementation of VHDL,Synthesis,Top
+@anchor{using/CommandReference doc}@anchor{14e}@anchor{using/CommandReference additional-command-reference}@anchor{14f}@anchor{using/CommandReference ref-command}@anchor{60}
+@chapter Additional Command Reference
-@node Interfacing to other languages,Releases and sources,Simulation and runtime,Top
-@anchor{using/Foreign doc}@anchor{c2}@anchor{using/Foreign interfacing-to-other-languages}@anchor{c3}
-@chapter Interfacing to other languages
+@cartouche
+@quotation Hint
+The most common commands and options are shown in section @ref{5f,,Invoking GHDL}.
+Here the advanced and experimental features are described.
+@end quotation
+@end cartouche
-@geindex interfacing
+@menu
+* Environment variables::
+* Misc commands::
+* File commands::
+* GCC/LLVM only commands::
+* Options: Options<2>.
+* Passing options to other programs::
-@geindex other languages
+@end menu
+
+@node Environment variables,Misc commands,,Additional Command Reference
+@anchor{using/CommandReference environment-variables}@anchor{150}
+@section Environment variables
-@geindex foreign
-@geindex VHPI
+@geindex environment variable; GHDL_PREFIX
+@anchor{using/CommandReference envvar-GHDL_PREFIX}@anchor{ba}
+@deffn {Environment Variable} GHDL_PREFIX
+@end deffn
-@geindex VHPIDIRECT
+@node Misc commands,File commands,Environment variables,Additional Command Reference
+@anchor{using/CommandReference misc-commands}@anchor{151}
+@section Misc commands
-Interfacing with foreign languages through VHPIDIRECT is possible any platform.
-You can define a subprogram in a foreign language (such as @cite{C} or
-@cite{Ada}) and import it into a VHDL design.
-@cartouche
-@quotation Hint
-VHPIDIRECT is the simplest way to call C code from VHDL. VHPI is a complex API to interface C and VHDL, which allows to
-inspect the hierarchy, set callbacks and/or assign signals. GHDL does not support VHPI. For these kind of features, it is
-suggested to use VPI instead (see @ref{86,,VPI build commands}).
-@end quotation
-@end cartouche
+There are a few GHDL commands which are seldom useful.
+
+@geindex cmd help
@menu
-* Foreign declarations::
-* Linking foreign object files to GHDL::
-* Wrapping and starting a GHDL simulation from a foreign program::
-* Linking GHDL to Ada/C::
-* Dynamically loading foreign objects from GHDL::
-* Dynamically loading GHDL::
-* Using GRT from Ada::
+* Help [-h]::
+* Display config [--disp-config]::
+* Display standard [--disp-standard]::
+* Version [--version]::
@end menu
-@node Foreign declarations,Linking foreign object files to GHDL,,Interfacing to other languages
-@anchor{using/Foreign foreign-declarations}@anchor{c4}
-@section Foreign declarations
+@node Help [-h],Display config [--disp-config],,Misc commands
+@anchor{using/CommandReference help-h}@anchor{152}
+@subsection Help [@code{-h}]
-Only subprograms (functions or procedures) can be imported, using the foreign
-attribute. In this example, the @cite{sin} function is imported:
+@geindex ghdl command line option; --help
+@geindex ghdl command line option; -h
+@anchor{using/CommandReference cmdoption-ghdl-help}@anchor{153}@anchor{using/CommandReference cmdoption-ghdl-h}@anchor{154}
+@deffn {Option} @w{-}@w{-}help, @w{-}h
+@end deffn
-@example
-package math is
- function sin (v : real) return real;
- attribute foreign of sin : function is "VHPIDIRECT sin";
-end math;
+Display (on the standard output) a short description of the all the commands available.
+If the help switch is followed by a command switch, then options for that second command are displayed:
-package body math is
- function sin (v : real) return real is
- begin
- assert false severity failure;
- end sin;
-end math;
+@example
+ghdl --help
+ghdl -h
+ghdl -h command
@end example
-A subprogram is made foreign if the @cite{foreign} attribute decorates
-it. This attribute is declared in the 1993 revision of the
-@code{std.standard} package. Therefore, you cannot use this feature in
-VHDL 1987.
+@geindex cmd display configuration
+
+@node Display config [--disp-config],Display standard [--disp-standard],Help [-h],Misc commands
+@anchor{using/CommandReference display-config-disp-config}@anchor{155}
+@subsection Display config [@code{--disp-config}]
+
+
+@geindex ghdl command line option; --disp-config <[options]>
+@anchor{using/CommandReference cmdoption-ghdl-disp-config}@anchor{bb}
+@deffn {Option} @w{-}@w{-}disp@w{-}config <[options]>
+@end deffn
+
+Display the program paths and options used by GHDL. This may be useful to track installation errors.
+
+@geindex cmd display standard
+
+@geindex display `@w{`}std.standard`@w{`}
+
+@node Display standard [--disp-standard],Version [--version],Display config [--disp-config],Misc commands
+@anchor{using/CommandReference display-standard-disp-standard}@anchor{156}
+@subsection Display standard [@code{--disp-standard}]
+
+
+@geindex ghdl command line option; --disp-standard <[options]>
+@anchor{using/CommandReference cmdoption-ghdl-disp-standard}@anchor{157}
+@deffn {Option} @w{-}@w{-}disp@w{-}standard <[options]>
+@end deffn
+
+Display the @code{std.standard} package.
+
+@geindex cmd version
+
+@node Version [--version],,Display standard [--disp-standard],Misc commands
+@anchor{using/CommandReference version-version}@anchor{158}
+@subsection Version [@code{--version}]
+
+
+@geindex ghdl command line option; --version
+@geindex ghdl command line option; -v
+@anchor{using/CommandReference cmdoption-ghdl-version}@anchor{159}@anchor{using/CommandReference cmdoption-ghdl-v}@anchor{15a}
+@deffn {Option} @w{-}@w{-}version, @w{-}v
+@end deffn
+
+Display the GHDL version.
+
+@node File commands,GCC/LLVM only commands,Misc commands,Additional Command Reference
+@anchor{using/CommandReference file-commands}@anchor{15b}
+@section File commands
-The decoration is achieved through an attribute specification. The
-attribute specification must be in the same declarative part as the
-subprogram and must be after it. This is a general rule for specifications.
-The value of the specification must be a locally static string.
-Even when a subprogram is foreign, its body must be present. However, since
-it won’t be called, you can make it empty or simply put an assertion.
+The following commands act on one or several files.
+These are not analyzed, therefore, they work even if a file has semantic errors.
-The value of the attribute must start with @code{VHPIDIRECT} (an
-upper-case keyword followed by one or more blanks). The linkage name of the
-subprogram follows.
+@geindex cmd file format
@menu
-* Restrictions on foreign declarations::
+* Format [fmt]::
+* Pretty print [--pp-html]::
+* Find [-f]::
+* Chop [--chop]::
+* Lines [--lines]::
+* XML tree generation [--file-to-xml]::
@end menu
-@node Restrictions on foreign declarations,,,Foreign declarations
-@anchor{using/Foreign id1}@anchor{c5}@anchor{using/Foreign restrictions-on-foreign-declarations}@anchor{c6}
-@subsection Restrictions on foreign declarations
+@node Format [fmt],Pretty print [--pp-html],,File commands
+@anchor{using/CommandReference format-fmt}@anchor{15c}
+@subsection Format [@code{fmt}]
-Any subprogram can be imported. GHDL puts no restrictions on foreign
-subprograms. However, the representation of a type or of an interface in a
-foreign language may be obscure. Most non-composite types are easily imported:
+@geindex ghdl command line option; fmt <file>
+@anchor{using/CommandReference cmdoption-ghdl-arg-fmt}@anchor{15d}
+@deffn {Option} fmt <file>
+@end deffn
+Format on the standard output the input file.
-@table @asis
+@geindex vhdl to html
-@item @emph{integer types}
+@node Pretty print [--pp-html],Find [-f],Format [fmt],File commands
+@anchor{using/CommandReference pretty-print-pp-html}@anchor{15e}
+@subsection Pretty print [@code{--pp-html}]
-They are represented by a 32 bit word. This generally corresponds to
-@cite{int} for @cite{C} or @cite{Integer} for @cite{Ada}.
-@item @emph{physical types}
+@geindex ghdl command line option; --pp-html <[options] file...>
+@anchor{using/CommandReference cmdoption-ghdl-pp-html}@anchor{e1}
+@deffn {Option} @w{-}@w{-}pp@w{-}html <[options] file...>
+@end deffn
-They are represented by a 64 bit word. This generally corresponds to the
-@cite{long long} for @cite{C} or @cite{Long_Long_Integer} for @cite{Ada}.
+The files are just scanned and an html file with syntax highlighting is generated on standard output.
+Since the files are not even parsed, erroneous files or incomplete designs can be pretty printed.
+The style of the html file can be modified with the @ref{e0,,--format} option.
-@item @emph{floating point types}
+@geindex cmd file find
-They are represented by a 64 bit floating point word. This generally
-corresponds to @cite{double} for @cite{C} or @cite{Long_Float} for @cite{Ada}.
+@node Find [-f],Chop [--chop],Pretty print [--pp-html],File commands
+@anchor{using/CommandReference find-f}@anchor{15f}
+@subsection Find [@code{-f}]
-@item @emph{enumeration types}
-They are represented by an 8 bit word, or, if the number of literals is
-greater than 256, by a 32 bit word. There is no corresponding C type, since arguments are
-not promoted.
-@end table
+@geindex ghdl command line option; -f <file...>
+@anchor{using/CommandReference cmdoption-ghdl-f}@anchor{160}
+@deffn {Option} @w{-}f <file...>
+@end deffn
-Non-composite types are passed by value. For the @cite{in} mode, this
-corresponds to the @cite{C} or @cite{Ada} mechanism. The @cite{out} and
-@cite{inout} interfaces of non-composite types are gathered in a record
-and this record is passed by reference as the first argument to the
-subprogram. As a consequence, you shouldn’t use @cite{in} and
-@cite{inout} modes in foreign subprograms, since they are not portable.
+The files are scanned, parsed and the names of design units are displayed.
+Design units marked with two stars are candidates to be at the apex of a design hierarchy.
-Records are represented like a @cite{C} structure and are passed by reference
-to subprograms.
+@geindex cmd file chop
-Arrays with static bounds are represented like a @cite{C} array, whose
-length is the number of elements, and are passed by reference to subprograms.
+@node Chop [--chop],Lines [--lines],Find [-f],File commands
+@anchor{using/CommandReference chop-chop}@anchor{161}
+@subsection Chop [@code{--chop}]
-Unconstrained arrays are represented by a fat pointer. Do not use unconstrained
-arrays in foreign subprograms.
-Accesses to an unconstrained array are fat pointers. Other accesses correspond to an address and are passed to a subprogram like other non-composite types.
+@geindex ghdl command line option; --chop <files...>
+@anchor{using/CommandReference cmdoption-ghdl-chop}@anchor{162}
+@deffn {Option} @w{-}@w{-}chop <files...>
+@end deffn
-Files are represented by a 32 bit word, which corresponds to an index
-in a table.
+The provided files are read, and a file is written in the current directory for every design unit.
+Each filename is built according to the type:
-@node Linking foreign object files to GHDL,Wrapping and starting a GHDL simulation from a foreign program,Foreign declarations,Interfacing to other languages
-@anchor{using/Foreign linking-foreign-object-files-to-ghdl}@anchor{c7}@anchor{using/Foreign linking-with-foreign-object-files}@anchor{c8}
-@section Linking foreign object files to GHDL
+@itemize *
-You may add additional files or options during the link of @cite{GHDL} using
-@code{-Wl,} as described in @ref{c9,,Passing options to other programs}.
-For example:
+@item
+For an entity declaration, a package declaration, or a configuration the file name is @code{NAME.vhdl}, where @cite{NAME}
+is the name of the design unit.
-@example
-ghdl -e -Wl,-lm math_tb
-@end example
+@item
+For a package body, the filename is @code{NAME-body.vhdl}.
-will create the @code{math_tb} executable with the @code{lm} (mathematical)
-library.
+@item
+Finally, for an architecture @cite{ARCH} of an entity @cite{ENTITY}, the filename is @code{ENTITY-ARCH.vhdl}.
+@end itemize
-Note the @code{c} library is always linked with an executable.
+Since the input files are parsed, this command aborts in case of syntax error.
+The command aborts too if a file to be written already exists.
-@node Wrapping and starting a GHDL simulation from a foreign program,Linking GHDL to Ada/C,Linking foreign object files to GHDL,Interfacing to other languages
-@anchor{using/Foreign starting-a-simulation-from-a-foreign-program}@anchor{ca}@anchor{using/Foreign wrapping-and-starting-a-ghdl-simulation-from-a-foreign-program}@anchor{cb}
-@section Wrapping and starting a GHDL simulation from a foreign program
+Comments between design units are stored into the most adequate files.
+This command may be useful to split big files, if your computer doesn’t have enough memory to compile such files.
+The size of the executable is reduced too.
-You may run your design from an external program. You just have to call
-the @code{ghdl_main} function which can be defined:
+@geindex cmd file lines
-in C:
+@node Lines [--lines],XML tree generation [--file-to-xml],Chop [--chop],File commands
+@anchor{using/CommandReference lines-lines}@anchor{163}
+@subsection Lines [@code{--lines}]
-@example
-extern int ghdl_main (int argc, char **argv);
-@end example
-in Ada:
+@geindex ghdl command line option; --lines <files...>
+@anchor{using/CommandReference cmdoption-ghdl-lines}@anchor{164}
+@deffn {Option} @w{-}@w{-}lines <files...>
+@end deffn
-@example
-with System;
-...
-function Ghdl_Main (Argc : Integer; Argv : System.Address)
- return Integer;
-pragma import (C, Ghdl_Main, "ghdl_main");
-@end example
+Display on the standard output lines of files preceded by line number.
-This function must be called once, and returns 0 at the end of the simulation.
+@geindex cmd XML generation
-@node Linking GHDL to Ada/C,Dynamically loading foreign objects from GHDL,Wrapping and starting a GHDL simulation from a foreign program,Interfacing to other languages
-@anchor{using/Foreign linking-ghdl-to-ada-c}@anchor{cc}@anchor{using/Foreign linking-with-ada}@anchor{cd}
-@section Linking GHDL to Ada/C
+@node XML tree generation [--file-to-xml],,Lines [--lines],File commands
+@anchor{using/CommandReference xml-tree-generation-file-to-xml}@anchor{165}
+@subsection XML tree generation [@code{--file-to-xml}]
-As explained previously in @ref{ca,,Wrapping and starting a GHDL simulation from a foreign program},
-you can start a simulation from an @cite{Ada} or @cite{C} program. However the build
-process is not trivial: you have to elaborate your program and your
-@cite{VHDL} design.
+@geindex ghdl command line option; --file-to-xml
+@anchor{using/CommandReference cmdoption-ghdl-file-to-xml}@anchor{166}
+@deffn {Option} @w{-}@w{-}file@w{-}to@w{-}xml
+
+Outputs an XML representation of the decorated syntax tree for the input file and its dependencies.
+It can be used for VHDL tooling using semantic information, like style checkers, documentation extraction, complexity
+estimation, etc.
+@end deffn
@cartouche
-@quotation Hint
-If the foreign language is C, this procedure is equivalent to the one described in
-@ref{c8,,Linking foreign object files to GHDL}, which is easier. Thus, this procedure is
-explained for didactic purposes. When suitable, we suggest to use @code{-e} instead
-of @code{--bind} and @code{--list-link}.
-@end quotation
-@end cartouche
+@quotation Warning
-First, you have to analyze all your design files. In this example, we
-suppose there is only one design file, @code{design.vhdl}.
+@itemize *
-@example
-$ ghdl -a design.vhdl
-@end example
+@item
+The AST slightly changes from time to time (particularly when new nodes are added for new language features), so be
+liberal in what is allowed by your tool.
+Also, the XML can be quite large so consider it only during prototyping.
-Then, bind your design. In this example, we suppose the entity at the
-design apex is @code{design}.
+@item
+Note that at this time there is no XML dump of the elaborated design.
+@end itemize
+@end quotation
+@end cartouche
-@example
-$ ghdl --bind design
-@end example
+@node GCC/LLVM only commands,Options<2>,File commands,Additional Command Reference
+@anchor{using/CommandReference gcc-llvm-only-commands}@anchor{167}@anchor{using/CommandReference gccllvm-only-programs}@anchor{168}
+@section GCC/LLVM only commands
-Finally, compile/bind your program and link it with your @cite{VHDL}
-design:
-in C:
+@geindex cmd GCC/LLVM binding
-@example
-gcc my_prog.c -Wl,`ghdl --list-link design`
-@end example
+@menu
+* Bind [--bind]::
+* Link [--link]::
+* List link [--list-link]::
-in Ada:
+@end menu
-@example
-$ gnatmake my_prog -largs `ghdl --list-link design`
-@end example
+@node Bind [--bind],Link [--link],,GCC/LLVM only commands
+@anchor{using/CommandReference bind-bind}@anchor{169}
+@subsection Bind [@code{--bind}]
-See @ref{ce,,GCC/LLVM only commands} for further details about @code{--bind} and @code{--list-link}.
-@node Dynamically loading foreign objects from GHDL,Dynamically loading GHDL,Linking GHDL to Ada/C,Interfacing to other languages
-@anchor{using/Foreign dynamically-loading-foreign-objects-from-ghdl}@anchor{cf}
-@section Dynamically loading foreign objects from GHDL
+@geindex ghdl command line option; --bind <[options] [library.]top_unit [arch]>
+@anchor{using/CommandReference cmdoption-ghdl-bind}@anchor{16a}
+@deffn {Option} @w{-}@w{-}bind <[options] [library.]top_unit [arch]>
+@end deffn
+Performs only the first stage of the elaboration command; the list of object files is created but the executable is not
+built.
+This command should be used only when the main entry point is not GHDL.
-Instead of linking and building foreign objects along with GHDL, it is also possible to load foreign resources dinamically.
-In order to do so, provide the path and name of the shared library where the resource is to be loaded from. For example:
+@cartouche
+@quotation Hint
+Currently, the objects generated by @ref{16a,,--bind} are created in the working directory.
+This behaviour is different from other object files generated with @ref{be,,-a}, which are always placed in the same
+directory as the @cite{WORK} library.
+It is possible to provide an output path with @code{ghdl --bind -o path/top_unit [library.]top_unit [arch]}.
+However, @code{ghdl --list-link} will only search in the current path.
+@end quotation
+@end cartouche
-@example
-attribute foreign of get_rand: function is "VHPIDIRECT ./getrand.so get_rand";
-@end example
+@geindex cmd GCC/LLVM linking
-@node Dynamically loading GHDL,Using GRT from Ada,Dynamically loading foreign objects from GHDL,Interfacing to other languages
-@anchor{using/Foreign dynamically-loading-ghdl}@anchor{d0}
-@section Dynamically loading GHDL
+@node Link [--link],List link [--list-link],Bind [--bind],GCC/LLVM only commands
+@anchor{using/CommandReference link-link}@anchor{16b}
+@subsection Link [@code{--link}]
-In order to generate a position independent executable (PIE), be it an executable binary
-or a shared library, GHDL must be built with config option @code{--default-pic}. This will ensure
-that all the libraries and sources analyzed by GHDL generate position independent code (PIC).
-Furthermore, when the binary is built, argument @code{-Wl,-pie} needs to be provided.
+@geindex ghdl command line option; --link <[options] [library.]top_unit [arch]>
+@anchor{using/CommandReference cmdoption-ghdl-link}@anchor{e9}
+@deffn {Option} @w{-}@w{-}link <[options] [library.]top_unit [arch]>
+@end deffn
-PIE binaries can be loaded and executed from any language that supports C-alike signatures and types
-(C, C++, golang, Python, Rust, etc.). For example:
+Performs only the second stage of the elaboration command: the executable is created by linking the files of the object
+files list.
+This command is available only for completeness.
+The elaboration command is equivalent to the bind command followed by the link command.
-@example
-import ctypes
-gbin = ctypes.CDLL(bin_path)
+@geindex cmd GCC/LLVM list link
-args = ['-gGENA="value"', 'gGENB="value"']
+@node List link [--list-link],,Link [--link],GCC/LLVM only commands
+@anchor{using/CommandReference list-link-list-link}@anchor{16c}
+@subsection List link [@code{--list-link}]
-xargs = (ctypes.POINTER(ctypes.c_char) * (len(args) + 1))()
-for i, arg in enumerate(args):
- xargs[i] = ctypes.create_string_buffer(arg.encode('utf-8'))
-return args[0], xargs
-gbin.main(len(xargv)-1, xargv)
+@geindex ghdl command line option; --list-link <[library.]top_unit [arch]>
+@anchor{using/CommandReference cmdoption-ghdl-list-link}@anchor{16d}
+@deffn {Option} @w{-}@w{-}list@w{-}link <[library.]top_unit [arch]>
+@end deffn
-import _ctypes
-# On GNU/Linux
-_ctypes.dlclose(gbin._handle)
-# On Windows
-#_ctypes.FreeLibrary(gbin._handle)
-@end example
+This command may be used only after a bind command.
+GHDL displays all the files which will be linked to create an executable and additional arguments for the linker.
+This command is intended to add object files in a link of a foreign program.
+This command should be used only after @code{ghdl --bind}, as some files generated by it are looked for in the current path.
-This allows seamless co-simulation using concurrent/parallel execution features available in each language:
-pthreads, goroutines/gochannels, multiprocessing/queues, etc. Moreover, it provides a mechanism to execute multiple
-GHDL simulations in parallel.
+@cartouche
+@quotation Hint
+One of the arguments returned by @code{--list-link} is @code{-Wl,--version-script=PREFIX/lib/ghdl/grt.ver}, where @cite{PREFIX}
+is the installation path of GHDL.
+This will hide most of the symbols when the target executable binary is built.
+In some contexts, where the binary is to be loaded dynamically, the user might want additional symbols to be
+accessible.
+There are two possible approaches to have it done:
-@node Using GRT from Ada,,Dynamically loading GHDL,Interfacing to other languages
-@anchor{using/Foreign using-grt-from-ada}@anchor{d1}
-@section Using GRT from Ada
+@itemize *
-@cartouche
-@quotation Warning
-This topic is only for advanced users who know how to use @cite{Ada}
-and @cite{GNAT}. This is provided only for reference; we have tested
-this once before releasing @cite{GHDL} 0.19, but this is not checked at
-each release.
+@item
+Filter the output of @code{--list-link} with e.g. @code{sed}.
+
+@item
+Provide an additional non-anonymous version script: @code{-Wl,-Wl,--version-script=file.ver}.
+@end itemize
@end quotation
@end cartouche
-The simulator kernel of @cite{GHDL} named @emph{GRT} is written in
-@cite{Ada95} and contains a very light and slightly adapted version
-of @cite{VHPI}. Since it is an @cite{Ada} implementation it is
-called @emph{AVHPI}. Although being tough, you may interface to @cite{AVHPI}.
+@node Options<2>,Passing options to other programs,GCC/LLVM only commands,Additional Command Reference
+@anchor{using/CommandReference options}@anchor{16e}
+@section Options
-For using @cite{AVHPI}, you need the sources of @cite{GHDL} and to recompile
-them (at least the @cite{GRT} library). This library is usually compiled with
-a @cite{No_Run_Time} pragma, so that the user does not need to install the
-@cite{GNAT} runtime library. However, you certainly want to use the usual
-runtime library and want to avoid this pragma. For this, reset the
-@cite{GRT_PRAGMA_FLAG} variable.
-@example
-$ make GRT_PRAGMA_FLAG= grt-all
-@end example
+@geindex ghdl command line option; --GHDL1<=COMMAND>
+@anchor{using/CommandReference cmdoption-ghdl-GHDL1}@anchor{16f}@anchor{using/CommandReference cmdoption-ghdl-ghdl1}@anchor{170}
+@deffn {Option} @w{-}@w{-}GHDL1<=COMMAND>
+@end deffn
-Since @cite{GRT} is a self-contained library, you don’t want
-@cite{gnatlink} to fetch individual object files (furthermore this
-doesn’t always work due to tricks used in @cite{GRT}). For this,
-remove all the object files and make the @code{.ali} files read-only.
+Use @code{COMMAND} as the command name for the compiler.
+If @code{COMMAND} is not a path, then it is searched in the path.
-@example
-$ rm *.o
-$ chmod -w *.ali
-@end example
+@geindex ghdl command line option; --AS<=COMMAND>
+@anchor{using/CommandReference cmdoption-ghdl-AS}@anchor{171}@anchor{using/CommandReference cmdoption-ghdl-as}@anchor{172}
+@deffn {Option} @w{-}@w{-}AS<=COMMAND>
+@end deffn
-You may then install the sources files and the @code{.ali} files. I have never
-tested this step.
+Use @code{COMMAND} as the command name for the assembler.
+If @code{COMMAND} is not a path, then it is searched in the path.
+The default is @code{as}.
-You are now ready to use it.
+@geindex ghdl command line option; --LINK<=COMMAND>
+@anchor{using/CommandReference cmdoption-ghdl-LINK}@anchor{173}
+@deffn {Option} @w{-}@w{-}LINK<=COMMAND>
+@end deffn
-Here is an example, @code{test_grt.adb} which displays the top
-level design name.
+Use @code{COMMAND} as the linker driver.
+If @code{COMMAND} is not a path, then it is searched in the path.
+The default is @code{gcc}.
-@example
-with System; use System;
-with Grt.Avhpi; use Grt.Avhpi;
-with Ada.Text_IO; use Ada.Text_IO;
-with Ghdl_Main;
+@node Passing options to other programs,,Options<2>,Additional Command Reference
+@anchor{using/CommandReference id1}@anchor{174}@anchor{using/CommandReference passing-options-to-other-programs}@anchor{175}
+@section Passing options to other programs
-procedure Test_Grt is
- -- VHPI handle.
- H : VhpiHandleT;
- Status : Integer;
- -- Name.
- Name : String (1 .. 64);
- Name_Len : Integer;
-begin
- -- Elaborate and run the design.
- Status := Ghdl_Main (0, Null_Address);
+@cartouche
+@quotation Warning
+These options are only available with GCC/LLVM.
+@end quotation
+@end cartouche
- -- Display the status of the simulation.
- Put_Line ("Status is " & Integer'Image (Status));
+For many commands, GHDL acts as a driver: it invokes programs to perform the command.
+You can pass arbitrary options to these programs.
- -- Get the root instance.
- Get_Root_Inst(H);
+Both the compiler and the linker are in fact GCC programs.
+See the GCC manual for details on GCC options.
- -- Disp its name using vhpi API.
- Vhpi_Get_Str (VhpiNameP, H, Name, Name_Len);
- Put_Line ("Root instance name: " & Name (1 .. Name_Len));
-end Test_Grt;
-@end example
+@geindex ghdl command line option; -Wc@comma{}<OPTION>
+@anchor{using/CommandReference cmdoption-ghdl-Wc}@anchor{176}@anchor{using/CommandReference cmdoption-ghdl-wc}@anchor{177}
+@deffn {Option} @w{-}Wc,<OPTION>
+@end deffn
-First, analyze and bind your design:
+Pass @cite{OPTION} as an option to the compiler.
-@example
-$ ghdl -a counter.vhdl
-$ ghdl --bind counter
-@end example
+@geindex ghdl command line option; -Wa@comma{}<OPTION>
+@anchor{using/CommandReference cmdoption-ghdl-Wa}@anchor{178}@anchor{using/CommandReference cmdoption-ghdl-wa}@anchor{179}
+@deffn {Option} @w{-}Wa,<OPTION>
+@end deffn
-Then build the whole:
+Pass @cite{OPTION} as an option to the assembler.
-@example
-$ gnatmake test_grt -aL`grt_ali_path` -aI`grt_src_path` -largs
- `ghdl --list-link counter`
-@end example
+@geindex ghdl command line option; -Wl@comma{}<OPTION>
+@anchor{using/CommandReference cmdoption-ghdl-Wl}@anchor{17a}@anchor{using/CommandReference cmdoption-ghdl-wl}@anchor{17b}
+@deffn {Option} @w{-}Wl,<OPTION>
+@end deffn
-Finally, run your design:
+Pass @cite{OPTION} as an option to the linker.
-@example
-$ ./test_grt
-Status is 0
-Root instance name: counter
-@end example
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
-@c # preload commonly known graphical characters like (c)
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -3308,304 +5210,623 @@ Root instance name: counter
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@node Releases and sources,Building GHDL from Sources,Interfacing to other languages,Top
-@anchor{getting/Releases doc}@anchor{d2}@anchor{getting/Releases release}@anchor{d3}@anchor{getting/Releases releases-and-sources}@anchor{d4}
-@chapter Releases and sources
+@node Implementation of VHDL,Implementation of VITAL,Additional Command Reference,Top
+@anchor{using/ImplementationOfVHDL doc}@anchor{17c}@anchor{using/ImplementationOfVHDL implementation-of-vhdl}@anchor{17d}@anchor{using/ImplementationOfVHDL ref-implvhdl}@anchor{61}
+@chapter Implementation of VHDL
@menu
-* Downloading pre-built packages::
-* Downloading Source Files::
+* VHDL standards::
+* PSL support::
+* Source representation::
+* Library database::
+* Top entity::
+* Using vendor libraries::
@end menu
-@node Downloading pre-built packages,Downloading Source Files,,Releases and sources
-@anchor{getting/Releases downloading-pre-built-packages}@anchor{d5}@anchor{getting/Releases release-packages}@anchor{d6}
-@section Downloading pre-built packages
+@node VHDL standards,PSL support,,Implementation of VHDL
+@anchor{using/ImplementationOfVHDL id1}@anchor{17e}@anchor{using/ImplementationOfVHDL vhdl-standards}@anchor{81}
+@section VHDL standards
-@c TODO How to extend this directive to use `.. only:: html` and `.. only:: html` in the python code passed to it?
+@geindex VHDL standards
-@cartouche
-@quotation Error
-Unable to execute python code at Releases.rst:108:
+@geindex IEEE 1076
-<urlopen error [SSL: CERTIFICATE_VERIFY_FAILED] certificate verify failed: unable to get local issuer certificate (_ssl.c:1051)>
-@end quotation
-@end cartouche
+@geindex IEEE 1076a
+@geindex 1076
+@geindex 1076a
-@subsubheading Pre-built packages of older releases
+@geindex v87
+@geindex v93
+@geindex v93c
+@geindex v00
-@node Downloading Source Files,,Downloading pre-built packages,Releases and sources
-@anchor{getting/Releases downloading-source-files}@anchor{d7}@anchor{getting/Releases release-sources}@anchor{d8}
-@section Downloading Source Files
+@geindex v02
+@geindex v08
-@cartouche
-@quotation Hint
-All the following procedures will retrieve the latest development version of GHDL, i.e., the @cite{master} branch at github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}.
-We do our best to keep it stable, but bugs can seldom be published. See @cite{HINT} boxes below for instructions to get older releases.
-@end quotation
-@end cartouche
-@anchor{getting/Releases release-sources-zip}@anchor{d9}
-@subsubheading Tarball/zip-file
+Unfortunately, there are many versions of the VHDL
+language, and they aren’t backward compatible.
+The VHDL language was first standardized in 1987 by IEEE as IEEE 1076-1987, and
+is commonly referred as VHDL-87. This is certainly the most important version,
+since most of the VHDL tools are still based on this standard.
-GHDL can be downloaded as a zip-file or tarball from GitHub. See the following table, to
-choose your desired format/version:
+Various problems of this first standard have been analyzed by experts groups
+to give reasonable ways of interpreting the unclear portions of the standard.
+VHDL was revised in 1993 by IEEE as IEEE 1076-1993. This revision is still
+well-known.
-@cartouche
-@quotation Hint
-To download a specific version of GHDL, use this alternative URL, where @code{<format>} is @code{tar.gz} or @code{zip}: @code{https://codeload.github.com/ghdl/ghdl/<format>/<tag>}.
-@end quotation
-@end cartouche
-@anchor{getting/Releases release-sources-gitclone}@anchor{da}
-@subsubheading git clone
+Unfortunately, VHDL-93 is not fully compatible with VHDL-87, i.e. some perfectly
+valid VHDL-87 programs are invalid VHDL-93 programs. Here are some of the
+reasons:
+
+
+@itemize *
+
+@item
+the syntax of file declaration has changed (this is the most visible source
+of incompatibility),
+
+@item
+new keywords were introduced (group, impure, inertial, literal,
+postponed, pure, reject, rol, ror, shared, sla, sll, sra, srl,
+unaffected, xnor),
+@item
+some dynamic behaviours have changed (the concatenation is one of them),
-GHDL can be downloaded (cloned) with @code{git clone} from GitHub. GitHub offers
-the transfer protocols HTTPS and SSH. You should use SSH if you have a GitHub
-account and have already uploaded an OpenSSH public key to GitHub, otherwise
-use HTTPS if you have no account or you want to use login credentials.
+@item
+rules have been added.
+@end itemize
+Shared variables were replaced by protected types in the 2000 revision of
+the VHDL standard. This modification is also known as 1076a. Note that this
+standard is not fully backward compatible with VHDL-93, since the type of a
+shared variable must now be a protected type (there was no such restriction
+before). This incompatibility can be bypassed with the
+@ref{d8,,-frelaxed} option.
+
+Minor corrections were added by the 2002 revision of the VHDL standard. This
+revision is not fully backward compatible with VHDL-00 since, for example,
+the value of the @cite{‘instance_name} attribute has slightly changed.
+
+The latest version is 2008. Many features have been added, and GHDL
+doesn’t implement all of them.
+
+You can select the VHDL standard expected by GHDL with the
+@ref{82,,--std=STANDARD} option, where @code{STANDARD} is one of the list below:
+
+
+@table @asis
-@multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@item 87
+
+Select VHDL-87 standard as defined by IEEE 1076-1987. LRM bugs corrected by
+later revisions are taken into account.
+
+@item 93
+
+Select VHDL-93; VHDL-87 file declarations are not accepted.
+
+@item 93c
+
+Same as 93 and @ref{d8,,-frelaxed}.
+
+@item 00
+
+Select VHDL-2000 standard, which adds protected types.
+
+@item 02
+
+Select VHDL-2002 standard.
+
+@item 08
+
+Select VHDL-2008 standard (partially implemented).
+@end table
+
+Multiple standards can be used in a design:
+
+
+@multitable {xxxxxxx} {xxxxxxxxxxxxxxxxxx}
@headitem
-Protocol
+GROUP
@tab
-GitHub Repository URL
+VHDL Standard
@item
-HTTPS
+87
@tab
-@indicateurl{https://github.com/ghdl/ghdl.git}
+87
@item
-SSH
+93
@tab
-@indicateurl{ssh://git@@github.com:ghdl/ghdl.git}
+93, 93c, 00, 02
+
+@item
+
+08
+
+@tab
+
+08
@end multitable
@cartouche
-@quotation Hint
-Execute @code{git checkout -b stable <tag>} after @code{git clone}, to checkout a specific version of GHDL.
+@quotation Note
+The standards in each group are considered compatible: you can elaborate a design mixing these standards. However, standards of different groups are not compatible.
@end quotation
@end cartouche
-Command line instructions to clone GHDL with HTTPS protocol:
+@node PSL support,Source representation,VHDL standards,Implementation of VHDL
+@anchor{using/ImplementationOfVHDL psl-implementation}@anchor{dd}@anchor{using/ImplementationOfVHDL psl-support}@anchor{17f}
+@section PSL support
+
+
+GHDL implements a subset of PSL@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language}.
+
+@menu
+* PSL implementation::
+* PSL usage::
+
+@end menu
+
+@node PSL implementation,PSL usage,,PSL support
+@anchor{using/ImplementationOfVHDL id2}@anchor{180}
+@subsection PSL implementation
+
+
+A PSL statement is considered as a process, so it’s not allowed within
+a process.
+
+All PSL directives (@cite{assert}, @cite{assume}, @cite{restrict}, @cite{cover}) must be clocked (GHDL doesn’t support unclocked directives).
+Furthermore only one clock per directive is allowed.
+
+You can either use a default clock like this:
@example
-cd GitRoot
-git clone "https://github.com/ghdl/ghdl.git" ghdl
-cd ghdl
-git remote rename origin github
+default clock is rising_edge (CLK);
+assert always
+ a -> eventually! b;
@end example
-Command line instructions to clone GHDL with SSH protocol:
+or use a clocked expression (note the use of parentheses):
@example
-cd GitRoot
-git clone "ssh://git@@github.com:ghdl/ghdl.git" ghdl
-cd ghdl
-git remote rename origin github
+assert (always a -> next[3](b)) @@rising_edge(clk);
@end example
-@cartouche
-@quotation Note
-Executing the following instructions in Windows Command Prompt (@code{cmd.exe})
-won’t function or will result in errors! All Windows command line instructions are
-intended for @code{Windows PowerShell}, if not marked otherwise. @code{Windows PowerShell}
-can be installed or upgraded to v5.1 by installing the Windows Management Framework@footnote{https://docs.microsoft.com/en-us/powershell/wmf/5.1/install-configure}.
-@end quotation
-@end cartouche
+Of course only the simple subset of PSL is allowed.
-@c # preload commonly known graphical characters like (c)
+Currently the built-in functions are not implemented, see issue #662@footnote{https://github.com/ghdl/ghdl/issues/662}.
+PSL functions @cite{prev()}, @cite{stable()}, @cite{rose()}, @cite{fell()}, @cite{onehot()} and @cite{onehot0()} are supported with GHDL synthesis.
-@c This data file has been placed in the public domain.
+@node PSL usage,,PSL implementation,PSL support
+@anchor{using/ImplementationOfVHDL psl-usage}@anchor{181}
+@subsection PSL usage
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@menu
+* PSL annotations embedded in comments::
+* PSL annotations (VHDL-2008 only): PSL annotations VHDL-2008 only.
+* PSL vunit files (VHDL-2008 / Synthesis only): PSL vunit files VHDL-2008 / Synthesis only.
-@node Building GHDL from Sources,Precompile Vendor Primitives,Releases and sources,Top
-@anchor{getting/index doc}@anchor{db}@anchor{getting/index build}@anchor{dc}@anchor{getting/index building-ghdl-from-sources}@anchor{dd}
-@chapter Building GHDL from Sources
+@end menu
+@node PSL annotations embedded in comments,PSL annotations VHDL-2008 only,,PSL usage
+@anchor{using/ImplementationOfVHDL psl-annotations-embedded-in-comments}@anchor{182}
+@subsubsection PSL annotations embedded in comments
-@subheading Download
+GHDL understands embedded PSL annotations in VHDL files:
+
+@example
+ -- psl default clock is rising_edge (CLK);
+ -- psl assert always
+ -- a -> eventually! b;
+end architecture rtl;
+@end example
-GHDL can be downloaded as a zip-file@footnote{https://github.com/ghdl/ghdl/archive/master.zip}/tar-file@footnote{https://github.com/ghdl/ghdl/archive/master.tar.gz}
-(latest ‘master’ branch) or cloned with @code{git clone} from GitHub. GitHub
-offers HTTPS and SSH as transfer protocols. See the @ref{d8,,Downloading Source Files}
-page for further details.
+
+@itemize *
+
+@item
+A PSL assertion statement must appear within a comment that starts
+with the @cite{psl} keyword. The keyword must be followed (on the
+same line) by a PSL keyword such as @cite{assert} or @cite{default}.
+To continue a PSL statement on the next line, just start a new comment.
+@end itemize
@cartouche
-@quotation Important
-Since GHDL is written in @cite{Ada}, independently of the code generator you use,
-the a compiler is required. Most GNU/Linux package managers provide a package
-named @code{gcc-ada} or @code{gcc-gnat}. Alternatively, @cite{GNU Ada compiler}, @cite{GNAT GPL},
-can be downloaded anonymously from libre.adacore.com@footnote{http://libre.adacore.com/tools/gnat-gpl-edition/} (2014, or later; for x86, 32 or 64 bits).
-Then, untar and run the doinstall script.
+@quotation Hint
+As PSL annotations are embedded within comments, you must analyze
+your design with option @ref{dc,,-fpsl} to enable PSL annotations:
+
+@example
+ghdl -a -fpsl vhdl_design.vhdl
+ghdl -e vhdl_design
+@end example
@end quotation
@end cartouche
-@subheading Available back-ends
+@node PSL annotations VHDL-2008 only,PSL vunit files VHDL-2008 / Synthesis only,PSL annotations embedded in comments,PSL usage
+@anchor{using/ImplementationOfVHDL psl-annotations-vhdl-2008-only}@anchor{183}
+@subsubsection PSL annotations (VHDL-2008 only)
-GHDL currently supports three different back-ends (code generators):
+Since VHDL-2008 PSL is integrated in the VHDL language. You can use
+PSL in a VHDL(-2008) design without embedding it in comments.
+
+@example
+ default clock is rising_edge (CLK);
+ assert always
+ a -> eventually! b;
+end architecture rtl;
+@end example
+
+@cartouche
+@quotation Hint
+You have to use the @ref{82,,--std=08} option:
+
+@example
+ghdl -a --std=08 vhdl_design.vhdl
+ghdl -e --std=08 vhdl_design
+@end example
+@end quotation
+@end cartouche
+
+@node PSL vunit files VHDL-2008 / Synthesis only,,PSL annotations VHDL-2008 only,PSL usage
+@anchor{using/ImplementationOfVHDL psl-vunit-files-vhdl-2008-synthesis-only}@anchor{184}
+@subsubsection PSL vunit files (VHDL-2008 / Synthesis only)
+
+
+GHDL supports vunit (Verification Unit) files.
+
+@example
+vunit vunit_name (entity_name(architecture_name))
+@{
+ default clock is rising_edge(clk);
+ assert always cnt /= 5 abort rst;
+@}
+@end example
@itemize *
-@item
-mcode - built-in x86 (or x86_64) code generator
+@item
+A vunit can contain PSL and VHDL code.
-@item
-GCC - Gnu Compiler Collection (gcc.gnu.org@footnote{http://gcc.gnu.org/})
+@item
+It is bound to a VHDL entity or an instance of it.
-@item
-LLVM - Low-Level Virtual Machine (llvm.org@footnote{http://llvm.org/})
+@item
+The PSL vunit is in the same scope as the VHDL design it is bound
+to. You have access to all objects (ports, types, signals) of the
+VHDL design.
@end itemize
-Here is a short comparison, so that you can choose the one you want to use:
+@cartouche
+@quotation Hint
+The PSL vunit file has to be analyzed together with the VHDL design file, for example:
+@example
+ghdl -a --std=08 vhdl_design.vhdl vunit.psl
+@end example
-@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
-@headitem
+Or when using the @cite{–synth} command:
-Back-end
+@example
+ghdl --synth --std=08 vhdl_design.vhdl vunit.psl -e vhdl_design
+@end example
+@end quotation
+@end cartouche
-@tab
+@node Source representation,Library database,PSL support,Implementation of VHDL
+@anchor{using/ImplementationOfVHDL source-representation}@anchor{185}
+@section Source representation
-Pros
-@tab
+According to the VHDL standard, design units (i.e. entities,
+architectures, packages, package bodies, and configurations) may be
+independently analyzed.
-Cons
+Several design units may be grouped into a design file.
-@item
+In GHDL, a system file represents a design file. That is, a file compiled by
+GHDL may contain one or more design units.
-@ref{de,,mcode}
+It is common to have several design units in a design file.
-@tab
+GHDL does not impose any restriction on the name of a design file
+(except that the filename may not contain any control character or
+spaces).
+GHDL does not keep a binary representation of the design units analyzed like
+other VHDL analyzers. The sources of the design units are re-read when
+needed (for example, an entity is re-read when one of its architectures is
+analyzed). Therefore, if you delete or modify a source file of a unit
+analyzed, GHDL will refuse to use it.
-@itemize *
+@node Library database,Top entity,Source representation,Implementation of VHDL
+@anchor{using/ImplementationOfVHDL id3}@anchor{186}@anchor{using/ImplementationOfVHDL library-database}@anchor{187}
+@section Library database
-@item
-Very easy to build
-@item
-Very quick analysis
+Each design unit analyzed is placed into a design library. By default,
+the name of this design library is @code{work}; however, this can be
+changed with the @ref{86,,--work} option of GHDL.
-@item
-Can handle very large designs
-@end itemize
+To keep the list of design units in a design library, GHDL creates
+library files. The name of these files is @code{<LIB_NAME>-obj<GROUP>.cf}, where
+@cite{<LIB_NAME>} is the name of the library, and @cite{<GROUP>} the VHDL version (87,
+93 or 08) used to analyze the design units.
-@tab
+For details on @code{GROUP} values see section @ref{81,,VHDL standards}.
+
+You don’t have to know how to read a library file. You can display it
+using the @emph{-d} of @cite{ghdl}. The file contains the name of the
+design units, as well as the location and the dependencies.
+
+The format may change with the next version of GHDL.
+
+@node Top entity,Using vendor libraries,Library database,Implementation of VHDL
+@anchor{using/ImplementationOfVHDL id4}@anchor{188}@anchor{using/ImplementationOfVHDL top-entity}@anchor{c1}
+@section Top entity
+
+
+There are some restrictions on the entity being at the apex of a design
+hierarchy:
@itemize *
-@item
-Simulation is slower
+@item
+The generic must have a default value, and the value of a generic is its
+default value.
-@item
-x86_64/i386 only
+@item
+The ports type must be constrained.
@end itemize
-@item
+@node Using vendor libraries,,Top entity,Implementation of VHDL
+@anchor{using/ImplementationOfVHDL using-vendor-libraries}@anchor{189}
+@section Using vendor libraries
-@ref{df,,LLVM}
-@tab
+Many vendors libraries have been analyzed with @cite{GHDL}. There are usually no problems. Be sure to use the
+@ref{86,,--work} option. However, some problems have been encountered. @cite{GHDL} follows the @cite{VHDL} LRM (the manual which
+defines @cite{VHDL}) more strictly than other @cite{VHDL} tools. You could try to relax the restrictions by using the
+@ref{82,,--std=93c}, @ref{84,,-fexplicit}, @ref{85,,-frelaxed-rules} and
+@ref{f2,,--warn-no-vital-generic}.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@itemize *
+@c This data file has been placed in the public domain.
-@item
-Generated code is faster
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@item
-Generated code can be debugged (with @code{-g})
+@c This data file has been placed in the public domain.
-@item
-Easier to build than GCC
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@item
-Ported to many platforms (x86, x86_64, armv7/aarch64)
-@end itemize
+@c # define a hard line break for HTML
-@tab
+@node Implementation of VITAL,Directory structure,Implementation of VHDL,Top
+@anchor{using/ImplementationOfVITAL doc}@anchor{18a}@anchor{using/ImplementationOfVITAL implementation-of-vital}@anchor{18b}@anchor{using/ImplementationOfVITAL ref-implvital}@anchor{62}
+@chapter Implementation of VITAL
-@itemize *
+@geindex VITAL
-@item
-Build is more complex than mcode
-@end itemize
+@geindex IEEE 1076.4
-@item
+@geindex 1076.4
-@ref{e0,,GCC}
+This chapter describes how VITAL is implemented in GHDL. Support of VITAL is
+really in a preliminary stage. Do not expect too much of it as of right now.
+
+@menu
+* VITAL packages::
+* VHDL restrictions for VITAL::
+* Backannotation::
+* Negative constraint calculation::
+
+@end menu
+
+@node VITAL packages,VHDL restrictions for VITAL,,Implementation of VITAL
+@anchor{using/ImplementationOfVITAL id1}@anchor{18c}@anchor{using/ImplementationOfVITAL vital-packages}@anchor{da}
+@section VITAL packages
+
+
+The VITAL standard or IEEE 1076.4 was first published in 1995, and revised in
+2000.
+
+The version of the VITAL packages depends on the VHDL standard. VITAL
+1995 packages are used with the VHDL 1987 standard, while VITAL 2000
+packages are used with other standards. This choice is based on the
+requirements of VITAL: VITAL 1995 requires the models follow the VHDL
+1987 standard, while VITAL 2000 requires the models follow VHDL 1993.
+
+The VITAL 2000 packages were slightly modified so that they conform to
+the VHDL 1993 standard (a few functions are made pure and a few
+impure).
+
+@node VHDL restrictions for VITAL,Backannotation,VITAL packages,Implementation of VITAL
+@anchor{using/ImplementationOfVITAL id2}@anchor{18d}@anchor{using/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{e5}
+@section VHDL restrictions for VITAL
+
+
+The VITAL standard (partially) implemented is the IEEE 1076.4 standard
+published in 1995.
+
+This standard defines restriction of the VHDL language usage on VITAL
+model. A @emph{VITAL model} is a design unit (entity or architecture)
+decorated by the @cite{VITAL_Level0} or @cite{VITAL_Level1} attribute.
+These attributes are defined in the @cite{ieee.VITAL_Timing} package.
+
+Currently, only VITAL level 0 checks are implemented. VITAL level 1 models
+can be analyzed, but GHDL doesn’t check they comply with the VITAL standard.
+
+Moreover, GHDL doesn’t check (yet) that timing generics are not read inside
+a VITAL level 0 model prior the VITAL annotation.
+
+The analysis of a non-conformant VITAL model fails. You can disable the
+checks of VITAL restrictions with the @emph{–no-vital-checks}. Even when
+restrictions are not checked, SDF annotation can be performed.
+
+@node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,Implementation of VITAL
+@anchor{using/ImplementationOfVITAL backannotation}@anchor{126}@anchor{using/ImplementationOfVITAL id3}@anchor{18e}
+@section Backannotation
+
+
+@geindex SDF
+
+@emph{Backannotation} is the process of setting VITAL generics with timing
+information provided by an external files.
+
+The external files must be SDF (Standard Delay Format) files. GHDL
+supports a tiny subset of SDF version 2.1. Other version numbers can be
+used, provided no features added by later versions are used.
+
+Hierarchical instance names are not supported. However you can use a list of
+instances. If there is no instance, the top entity will be annotated and
+the celltype must be the name of the top entity. If there is at least one
+instance, the last instance name must be a component instantiation label, and
+the celltype must be the name of the component declaration instantiated.
+
+Instances being annotated are not required to be VITAL compliant. However
+generics being annotated must follow rules of VITAL (e.g., type must be a
+suitable vital delay type).
+
+Currently, only timing constraints applying on a timing generic of type
+@cite{VitalDelayType01} has been implemented. This SDF annotator is
+just a proof of concept. Features will be added with the following GHDL
+release.
+
+@node Negative constraint calculation,,Backannotation,Implementation of VITAL
+@anchor{using/ImplementationOfVITAL negative-constraint-calculation}@anchor{18f}
+@section Negative constraint calculation
+
+
+Negative constraint delay adjustments are necessary to handle negative
+constraints such as a negative setup time. This step is defined in the VITAL
+standard and should occur after backannotation.
+
+GHDL does not do negative constraint calculation. It fails to handle models
+with negative constraint. I hope to be able to add this phase soon.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Directory structure,Building GHDL from Sources,Implementation of VITAL,Top
+@anchor{development/Directories doc}@anchor{190}@anchor{development/Directories build-dir-structure}@anchor{6d}@anchor{development/Directories directory-structure}@anchor{191}
+@chapter Directory structure
-@tab
@itemize *
-@item
-Generated code is faster (particularly with @code{-O} or @code{-O2})
+@item
+@code{doc}: @cite{reStructuredText} sources and auxiliary files to build the documentation with Sphinx@footnote{http://www.sphinx-doc.org}.
+A continuous integration (CI) workflow is used to automatically build and deploy this site and/or PDF you are reading.
-@item
-Generated code can be debugged (with @code{-g})
+@item
+@code{libraries}: mostly third party libraries such as @cite{ieee}, @cite{std}, @cite{synopsys} and @cite{vital}. Except for a few shell and
+@cite{Python} scripts, all the content is written in VHDL.
-@item
-Ported to many platforms (x86, x86_64, PowerPC, SPARC)
-@end itemize
+@item
+@code{logo}: Python and Gimp sources of the logo and the banners.
-@tab
+@item
+@code{pyGHDL}: sources of the @ref{0,,Python Interfaces}.
+
+@item
+@code{scripts}: scripts and auxiliary files:
@itemize *
-@item
-Build is even more complex
+@item
+@code{scripts/vendors}: Vendors like Altera, Lattice and Xilinx have their own simulation libraries, especially for FPGA
+primitives, soft and hard macros. These libraries cannot be shipped with GHDL, but we offer prepared compile scripts to
+pre-compile the vendor libraries, if the vendor tool is present on the computer. See @ref{4e,,Precompile Vendor Primitives} for
+information on how to use them.
-@item
-Analysis can take time (particularly for large units)
+@item
+@code{scripts/gcc}: header and configuration files to build GHDL with GCC (all platforms).
-@item
-Code coverage collection (@code{gcov}) is unique to GCC
+@item
+@code{scripts/msys2-*}: PKGBUILD recipes for building nightly GHDL packages on MSYS2.
+
+@item
+@code{scripts/pnodes*}: Python scripts for automatically generating some of the sources of @ref{0,,Python Interfaces}.
@end itemize
-@end multitable
+@item
+@code{src}: sources of GHDL. Most of them are written in Ada, some in C.
+
+@item
+@code{testsuite}: files used for testing.
+@end itemize
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+@c This data file has been placed in the public domain.
-@c # preload commonly known graphical characters like (c)
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -3614,101 +5835,145 @@ Code coverage collection (@code{gcov}) is unique to GCC
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
+
+@node Building GHDL from Sources,pyGHDL,Directory structure,Top
+@anchor{development/building/index doc}@anchor{192}@anchor{development/building/index build}@anchor{4b}@anchor{development/building/index building-ghdl-from-sources}@anchor{193}
+@chapter Building GHDL from Sources
+
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
@menu
-* Directory structure::
-* mcode backend::
-* LLVM backend::
-* GCC backend::
+* Sources::
+* mcode backend::
+* LLVM backend::
+* GCC backend::
+* TL;DR::
@end menu
-@node Directory structure,mcode backend,,Building GHDL from Sources
-@anchor{getting/Directories doc}@anchor{e1}@anchor{getting/Directories build-dir-structure}@anchor{1a}@anchor{getting/Directories directory-structure}@anchor{e2}
-@section Directory structure
+@node Sources,mcode backend,,Building GHDL from Sources
+@anchor{development/building/Sources doc}@anchor{194}@anchor{development/building/Sources id1}@anchor{195}@anchor{development/building/Sources sources}@anchor{196}
+@section Sources
+
+@cartouche
+@quotation Hint
+All the following procedures will retrieve the latest development version of GHDL, i.e., the @cite{master} branch at
+github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}. We do our best to keep it stable, but bugs can seldom be
+published. See @cite{HINT} boxes below for instructions to get older releases.
+@end quotation
+@end cartouche
+@anchor{development/building/Sources release-sources-zip}@anchor{197}
+@subsubheading Tarball/zip-file
-@itemize *
+GHDL can be downloaded as a zip-file or tarball from GitHub. See the following table to choose your desired format/version:
-@item
-@code{src}: sources of GHDL, all of them in Ada.
-@item
-@code{libraries}: mostly third party libraries such as, @cite{ieee}, @cite{mentor},
-@cite{std}, @cite{synopsys} and @cite{vital}. Except for a few shell and @cite{Python} scripts, all
-the content is written in VHDL.
+@cartouche
+@quotation Hint
+To download a specific version of GHDL, use this alternative URL, where @code{<format>} is @code{tar.gz} or @code{zip}:
+@code{https://codeload.github.com/ghdl/ghdl/<format>/<tag>}.
+@end quotation
+@end cartouche
+@anchor{development/building/Sources release-sources-gitclone}@anchor{198}
+@subsubheading git clone
-@itemize *
+GHDL can be downloaded (cloned) with @code{git clone} from GitHub. GitHub offers the transfer protocols HTTPS and SSH. You should
+use SSH if you have a GitHub account and have already uploaded an OpenSSH public key to GitHub, otherwise use HTTPS if you
+have no account or you want to use login credentials.
-@item
-Vendors like Altera, Lattice and Xilinx have their own simulation libraries,
-especially for FPGA primitives, soft and hard macros. These libraries cannot
-be shipped with GHDL, but we offer prepared compile scripts to
-pre-compile the vendor libraries, if the vendor tool is present on the
-computer. These are located in @code{libraries/vendor}.
-See @ref{e3,,Precompile Vendor Primitives} for information on how to
-use them.
-@end itemize
-@item
-@code{dist}: scripts and auxiliary files to build GHDL in different
-environments:
+@multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+Protocol
-@itemize *
+@tab
-@item
-@code{gcc}: header and configuration files to build GHDL with GCC (all
-platforms).
+GitHub Repository URL
@item
-@code{linux}: build and test script written in shell, and other auxiliary
-files used to i) launch docker containers and ii) automate multiple builds
-in Travis CI@footnote{https://travis-ci.org/}.
-@item
-@code{windows}:
+HTTPS
+@tab
-@itemize *
+@indicateurl{https://github.com/ghdl/ghdl.git}
@item
-@code{mcode}:
-@item
-@code{appveyor}:
-@end itemize
-@end itemize
+SSH
-@item
-@code{doc}: @cite{Markdown} and @cite{reStructuredText} sources and auxiliary files to
-build the documentation with Sphinx@footnote{http://www.sphinx-doc.org}. In fact,
-Read the Docs@footnote{http://readthedocs.org} (RTD) is used to automatically build
-and deploy this site and/or PDF you are reading.
+@tab
-@item
-@code{testsuite}: files used for testing.
+@indicateurl{ssh://git@@github.com:ghdl/ghdl.git}
-@item
-@cite{.yml} configuration files for CI environments (@code{readthedocs},
-@code{travis}, and @code{appveyor}) and @cite{ignore} files for source control
-management tools (@code{git} and @code{.hg}).
+@end multitable
-@item
-Files for building GHDL: @code{configure} and @code{Makefile.in}.
-@item
-Auxiliary files for development: @code{.gdbinit} and @code{ghdl.gpr.in}
-(GNAT project file).
+@cartouche
+@quotation Hint
+Execute @code{git checkout -b stable <tag>} after @code{git clone}, to checkout a specific version of GHDL.
+@end quotation
+@end cartouche
-@item
-Text files: @code{COPYING.md}, @code{NEWS.md}, and @code{README.md}.
-@end itemize
+Command line instructions to clone GHDL with HTTPS protocol:
-@c # preload commonly known graphical characters like (c)
+@example
+cd GitRoot
+git clone "https://github.com/ghdl/ghdl.git" ghdl
+cd ghdl
+git remote rename origin github
+@end example
+
+Command line instructions to clone GHDL with SSH protocol:
+
+@example
+cd GitRoot
+git clone "ssh://git@@github.com:ghdl/ghdl.git" ghdl
+cd ghdl
+git remote rename origin github
+@end example
+
+@cartouche
+@quotation Note
+Executing the following instructions in Windows Command Prompt (@code{cmd.exe}) won’t function or will result in
+errors! All Windows command line instructions are intended for @code{Windows PowerShell}, if not marked otherwise.
+@end quotation
+@end cartouche
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -3717,10 +5982,10 @@ Text files: @code{COPYING.md}, @code{NEWS.md}, and @code{README.md}.
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@node mcode backend,LLVM backend,Directory structure,Building GHDL from Sources
-@anchor{getting/mcode doc}@anchor{e4}@anchor{getting/mcode build-mcode}@anchor{de}@anchor{getting/mcode mcode-backend}@anchor{e5}
+@node mcode backend,LLVM backend,Sources,Building GHDL from Sources
+@anchor{development/building/mcode doc}@anchor{199}@anchor{development/building/mcode build-mcode}@anchor{19a}@anchor{development/building/mcode mcode-backend}@anchor{19b}
@section mcode backend
@@ -3729,13 +5994,13 @@ simplest procedure, because it requires the fewest dependencies and configuratio
options.
@menu
-* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2.
-* GNAT GPL; Windows: GNAT GPL Windows.
+* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2.
+* GNAT GPL; Windows: GNAT GPL Windows.
@end menu
@node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,GNAT GPL Windows,,mcode backend
-@anchor{getting/mcode build-mcode-gnat}@anchor{e6}@anchor{getting/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{e7}
+@anchor{development/building/mcode build-mcode-gnat}@anchor{19c}@anchor{development/building/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{19d}
@subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
@@ -3745,10 +6010,10 @@ options.
@itemize *
-@item
+@item
GCC (Gnu Compiler Collection)
-@item
+@item
GNAT (Ada compiler for GCC)
@end itemize
@@ -3757,21 +6022,21 @@ GHDL is configured by @code{configure} and built by @code{make}.
@itemize *
-@item
+@item
First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
other option, @code{configure} selects @cite{mcode} as the backend.
-@item
+@item
Next, @code{make} starts the compilation process.
-@item
+@item
Finally, @code{make install} installs GHDL into the installation directory
specified by @code{PREFIX}.
@end itemize
@cartouche
-@quotation Hint
+@quotation Hint
ON GNU/Linux, you may need super user privileges (@code{sudo ...}).
@end quotation
@end cartouche
@@ -3789,7 +6054,7 @@ $ make install
@end example
@node GNAT GPL Windows,,GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,mcode backend
-@anchor{getting/mcode build-mcode-gnatgpl-windows}@anchor{e8}@anchor{getting/mcode gnat-gpl-windows}@anchor{e9}
+@anchor{development/building/mcode build-mcode-gnatgpl-windows}@anchor{19e}@anchor{development/building/mcode gnat-gpl-windows}@anchor{19f}
@subsection GNAT GPL: Windows
@@ -3799,13 +6064,13 @@ $ make install
@itemize *
-@item
+@item
GNAT GPL from @indicateurl{http://libre.adacore.com}
-@item
+@item
PowerShell 4
-@item
+@item
PowerShell Community Extensions (PSCX)
@end itemize
@@ -3830,7 +6095,16 @@ CreatePackage options:
-Zip Create a zip-file for xcopy deployment
@end example
-@c # preload commonly known graphical characters like (c)
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -3839,10 +6113,10 @@ CreatePackage options:
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
@node LLVM backend,GCC backend,mcode backend,Building GHDL from Sources
-@anchor{getting/LLVM doc}@anchor{ea}@anchor{getting/LLVM build-llvm}@anchor{df}@anchor{getting/LLVM llvm-backend}@anchor{eb}
+@anchor{development/building/LLVM doc}@anchor{1a0}@anchor{development/building/LLVM build-llvm}@anchor{1a1}@anchor{development/building/LLVM llvm-backend}@anchor{1a2}
@section LLVM backend
@@ -3852,29 +6126,30 @@ CreatePackage options:
@itemize *
-@item
+@item
GCC (Gnu Compiler Collection)
-@item
+@item
GNAT (Ada compiler for GCC)
-@item
-LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3.8, 3.9, 4.0, 5.0, 6.0, 7.0 or 8.0
+@item
+LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3.8, 3.9, 4.0, 5.0, 6.0, 7.0, 8.0,
+9.0, 10.0, 11.0, 11.1 or 12.0
@end itemize
@menu
-* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>.
+* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>.
@end menu
@node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>,,,LLVM backend
-@anchor{getting/LLVM build-llvm-gnat}@anchor{ec}@anchor{getting/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{ed}
+@anchor{development/building/LLVM build-llvm-gnat}@anchor{1a3}@anchor{development/building/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1a4}
@subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
@cartouche
-@quotation Hint
-You need to install LLVM (usually depends on @code{libedit}, see #29@footnote{https://github.com/ghdl/ghdl/issues/29}). Debugging is only supported with LLVM 3.5.
+@quotation Hint
+You need to install LLVM (usually depends on @code{libedit}, see #29@footnote{https://github.com/ghdl/ghdl/issues/29}). Debugging is supported with LLVM 3.5 or @code{>=6}.
@end quotation
@end cartouche
@@ -3883,17 +6158,17 @@ GHDL is configured by @code{configure} and built by @code{make}.
@itemize *
-@item
+@item
First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Set the proper
arg, @code{./configure --with-llvm-config}, to select LLVM backend. If
@code{llvm-config} is not in your path, you can specify it:
@code{./configure --with-llvm-config=LLVM_INSTALL/bin/llvm-config}.
-@item
+@item
Next, @code{make} starts the compilation process.
-@item
+@item
Finally, @code{make install} installs GHDL into the installation directory
specified by @code{PREFIX}.
@end itemize
@@ -3911,12 +6186,21 @@ $ make install
@end example
@cartouche
-@quotation Hint
+@quotation Hint
If you want to have stack backtraces on errors (like assert failure or index of out bounds), you need to configure and build @code{libbacktrace} from GCC (you don’t need to configure GCC). Then add the following arg to configure: @code{--with-backtrace-lib=/path-to-gcc-build/libbacktrace/.libs/libbacktrace.a}
@end quotation
@end cartouche
-@c # preload commonly known graphical characters like (c)
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -3925,15 +6209,15 @@ If you want to have stack backtraces on errors (like assert failure or index of
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@node GCC backend,,LLVM backend,Building GHDL from Sources
-@anchor{getting/GCC doc}@anchor{ee}@anchor{getting/GCC build-gcc}@anchor{e0}@anchor{getting/GCC gcc-backend}@anchor{ef}
+@node GCC backend,TL;DR,LLVM backend,Building GHDL from Sources
+@anchor{development/building/GCC doc}@anchor{1a5}@anchor{development/building/GCC build-gcc}@anchor{1a6}@anchor{development/building/GCC gcc-backend}@anchor{1a7}
@section GCC backend
@cartouche
-@quotation Todo
+@quotation Todo
Instructions to build GHDL with GCC backend on Windows are not available yet.
@end quotation
@end cartouche
@@ -3944,18 +6228,18 @@ Instructions to build GHDL with GCC backend on Windows are not available yet.
@itemize *
-@item
+@item
GCC (Gnu Compiler Collection)
-@item
+@item
GNAT (Ada compiler for GCC)
-@item
-GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x or 7.x.
+@item
+GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x (>>`GCC mirror sites <https://gcc.gnu.org/mirrors.html`__<<).
@end itemize
@cartouche
-@quotation Hint
+@quotation Hint
There are some dependencies for building GCC (@code{gmp}, @code{mpfr} and @code{mpc}). If you have not installed them on your system, you can either build them manually or use the @code{download_prerequisites} script provided in the GCC source tree (recommended): @code{cd /path/to/gcc/source/dir && ./contrib/download_prerequisites}.
@end quotation
@end cartouche
@@ -3963,19 +6247,19 @@ There are some dependencies for building GCC (@code{gmp}, @code{mpfr} and @code{
@itemize *
-@item
+@item
First configure GHDL, specify GCC source directory and installation prefix (like @code{/usr/local} or @code{/opt/ghdl}).
-@item
+@item
Next, invoke @code{make copy-sources} to copy GHDL sources in the source directory.
-@item
+@item
Then, configure GCC. The list of @code{--disable} configure options can be adjusted to your needs. GHDL does not require all these optional libraries and disabling them will speed up the build.
-@item
+@item
Now, build and install GCC with @code{make}.
-@item
+@item
Last, build and install GHDL libraries.
@end itemize
@@ -3999,25 +6283,25 @@ $ make install
@end example
@cartouche
-@quotation Hint
+@quotation Hint
Note that the prefix directory to configure @code{gcc} must be the same as the one used to configure GHDL. If you have manually built @code{gmp}/@code{mpfr}/@code{mpc} (without using the script in @code{contrib}), and, if you have installed them in a non-standard directory, you may need to add @code{--with-gmp=GMP_INSTALL_DIR}.
@end quotation
@end cartouche
@cartouche
-@quotation Hint
+@quotation Hint
If your system gcc was configured with @code{--enable-default-pie} (check if that option appears in the output of @code{gcc -v}), you should also add it.
@end quotation
@end cartouche
@cartouche
-@quotation Hint
+@quotation Hint
If you don’t want to install @code{makeinfo}, do @code{make install MAKEINFO=true} instead.
@end quotation
@end cartouche
@cartouche
-@quotation Hint
+@quotation Hint
Once GCC (with GHDL) has been built once, it is possible to work on the GHDL source tree without copying it in the GCC tree. Commands are:
@example
@@ -4042,8 +6326,8 @@ If your system gcc was built with @code{--enable-default-pie}, add
@end cartouche
@cartouche
-@quotation Hint
-For ppc64 (and AIX ?) platform, the object file format contains an identifier for the source language. Because gcc doesn’t know about VHDL, gcc crashes very early. This could be fixed with a very simple change in @code{gcc/config/rs6000/rs6000.c}, @code{function rs6000_output_function_epilogue} (as of gcc 4.8):
+@quotation Hint
+For ppc64/ppc64le platform, the object file format contains an identifier for the source language. Because gcc doesn’t know about VHDL, gcc crashes very early. This could be fixed with a very simple change in @code{gcc/config/rs6000/rs6000.c} (@code{gcc/config/rs6000/rs6000-logue.c} since gcc 10), function @code{rs6000_output_function_epilogue}:
@example
|| ! strcmp (language_string, "GNU GIMPLE")
@@ -4057,21 +6341,181 @@ For ppc64 (and AIX ?) platform, the object file format contains an identifier fo
@end quotation
@end cartouche
+GHDL can be downloaded as a tarball@footnote{https://github.com/ghdl/ghdl/archive/master.tar.gz}/zipfile@footnote{https://github.com/ghdl/ghdl/archive/master.zip}
+or cloned with @code{git clone} from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the @ref{196,,Sources} page for
+further details.
+
+@cartouche
+@quotation Important
+Since GHDL is written in @cite{Ada}, independently of the code generator you use, a compiler is required. Most GNU/Linux package
+managers provide @code{gcc-ada} or @code{gcc-gnat} (which could be outdated). Alternatively, @cite{GNU Ada compiler}, @cite{GNAT GPL}, can be downloaded
+without registration from libre.adacore.com@footnote{http://libre.adacore.com/tools/gnat-gpl-edition/} (2014, or later; for x86, 32 or 64 bits).
+@end quotation
+@end cartouche
+
@cartouche
-@quotation Hint
-The output of both GCC and LLVM is an executable file, but @cite{mcode} does not
-generate any. Therefore, if using GCC/LLVM, the call with argument @code{-r} can
-be replaced with direct execution of the binary. See section @ref{d,,Quick Start Guide}.
+@quotation Hint
+The download page of @emph{GNAT Community Edition} provides the latest version (x86, 64 bits), with a graphical installer
+(@code{chmod +x *.bin} and execute it). Alternatively, you can find a link to @emph{More packages, platforms, versions and sources}
+at the bottom of the page, where versions previous to 2018 are available as binaries ready to be installed
+(@cite{untar} and run the @cite{doinstall} script). In any case, you must add @code{<GNAT_INSTALL_DIR>/bin} to your @code{PATH}.
+@end quotation
+@end cartouche
+
+@cartouche
+@quotation Attention
+Since @code{v0.37}, GHDL’s synthesis features require GCC >=8.1, due to some new GNAT features which are not available in
+previous releases. Users with older versions (who don’t need synthesis) can configure GHDL with option @code{--disable-synth}.
+@end quotation
+@end cartouche
+
+GHDL currently supports three different back-ends (code generators):
+
+
+@itemize *
+
+@item
+mcode - built-in in-memory x86 (or x86_64) code generator
+
+@item
+GCC - Gnu Compiler Collection (gcc.gnu.org@footnote{http://gcc.gnu.org/})
+
+@item
+LLVM - Low-Level Virtual Machine (llvm.org@footnote{http://llvm.org/})
+@end itemize
+
+Here is a short comparison, so that you can choose the one you want to use:
+
+
+@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+
+Back-end
+
+@tab
+
+Pros
+
+@tab
+
+Cons
+
+@item
+
+@ref{19a,,mcode}
+
+@tab
+
+
+@itemize *
+
+@item
+Very easy to build
+
+@item
+Very quick analysis
+
+@item
+Can handle very large designs
+
+@item
+Base simulation time can be modified for speeding up execution
+@end itemize
+
+@tab
+
+
+@itemize *
+
+@item
+Simulation is slower
+
+@item
+x86_64/i386 only
+@end itemize
+
+@item
+
+@ref{1a1,,LLVM}
+
+@tab
+
+
+@itemize *
+
+@item
+Generated code is faster
+
+@item
+Generated code can be debugged (with @code{-g})
+
+@item
+Easier to build than GCC
+
+@item
+Ported to many platforms (x86, x86_64, armv7/aarch64)
+@end itemize
+
+@tab
+
+
+@itemize *
+
+@item
+Build is more complex than mcode
+@end itemize
+
+@item
+
+@ref{1a6,,GCC}
+
+@tab
+
+
+@itemize *
+
+@item
+Generated code is faster (particularly with @code{-O} or @code{-O2})
+
+@item
+Generated code can be debugged (with @code{-g})
+
+@item
+Ported to many platforms (x86, x86_64, PowerPC, SPARC)
+@end itemize
+
+@tab
+
+
+@itemize *
+
+@item
+Build is even more complex
+
+@item
+Analysis can take time (particularly for large units)
+
+@item
+Code coverage collection (@code{gcov}) is unique to GCC
+@end itemize
+
+@end multitable
+
+
+@cartouche
+@quotation Hint
+The output of both GCC and LLVM is an executable file, but @cite{mcode} does not generate any. Therefore, if using GCC/LLVM,
+the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{5e,,Simulation}.
@end quotation
@end cartouche
After making your choice, you can jump to the corresponding section.
-However, we suggest you to read @ref{1a,,Directory structure} first, so that you
+However, we suggest you to read @ref{6d,,Directory structure} first, so that you
know where the content will be placed and which files are expected to be
created.
@cartouche
-@quotation Hint
+@quotation Hint
In these instructions, the configure script is executed in the source directory; but you can execute in a different
directory too, like this:
@@ -4087,25 +6531,57 @@ $ ../path/to/ghdl/configure ...
@end cartouche
@cartouche
-@quotation Hint
-On Windows, building GHDL with mcode backend and GNAT GPL 32 bit seems to be the only way to get a standalone native executable.
+@quotation Hint
+On Windows, building GHDL with mcode backend and GNAT GPL 32 bit seems to be the only way to get a standalone native
+executable straightaway. MINGW/MSYS2 builds depend on the environment/runtime. See #1560@footnote{https://github.com/ghdl/ghdl/issues/1560}.
+@end quotation
+@end cartouche
+@cartouche
+@quotation Hint
+For MacOS 10.15 (Catalina), see #1368@footnote{https://github.com/ghdl/ghdl/issues/1368} for workarounds to link failures.
+@end quotation
+@end cartouche
-@itemize *
+@node TL;DR,,GCC backend,Building GHDL from Sources
+@anchor{development/building/index tl-dr}@anchor{1a8}
+@section TL;DR
-@item
-MINGW/MSYS2 builds depend on the environment/runtime.
-@item
-For 64 bit, no native compiler exists from AdaCore.
+In order to follow the traditional way to @code{configure} and @code{make}, you need an Ada compiler.
-@item
-That Ada to .NET compiler, which might work for 32 or 64 bit. is not up-to-date.
-@end itemize
+@cartouche
+@quotation Hint
+Depending on the OS and distribution you are using, you will also need to install some toolchain dependencies, such as
+@code{zlib}.
@end quotation
@end cartouche
-@c # preload commonly known graphical characters like (c)
+To use mcode backend (easiest to build), in the GHDL base directory, configure and build:
+
+@example
+$ ./configure --prefix=/usr/local
+$ make
+@end example
+
+At that place, you can already use the @cite{ghdl_mcode} built in the directory. You can also install GHDL:
+
+@example
+$ make install
+@end example
+
+That’s all!
+
+@cartouche
+@quotation Hint
+The executable is installed as ‘ghdl’ in @code{/usr/local}. To install it to a different path, change the @code{--prefix} in the
+call to @code{configure}. For example, on Windows, you may want to set it to @code{--prefix=/c/Program Files (x86)/GHDL}.
+@end quotation
+@end cartouche
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -4114,629 +6590,16061 @@ That Ada to .NET compiler, which might work for 32 or 64 bit. is not up-to-date.
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c This data file has been placed in the public domain.
-@node Precompile Vendor Primitives,Command Reference,Building GHDL from Sources,Top
-@anchor{getting/PrecompileVendorPrimitives doc}@anchor{f0}@anchor{getting/PrecompileVendorPrimitives getting-precompvendor}@anchor{e3}@anchor{getting/PrecompileVendorPrimitives precompile-vendor-primitives}@anchor{f1}
-@chapter Precompile Vendor Primitives
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c # define a hard line break for HTML
-Vendors like Altera, Lattice and Xilinx have their own simulation libraries,
-especially for FPGA primitives, soft and hard macros. These libraries cannot
-be shipped with @emph{GHDL}, but we offer prepared compile scripts to pre-compile
-the vendor libraries, if the vendor tool is present on the computer. There are
-also popular simulation and verification libraries like OSVVM @footnote{
-OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
-} or
-UVVM @footnote{
-UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
-}, which can be pre-compiled, too.
+@node pyGHDL,GHDL Waveform GHW,Building GHDL from Sources,Top
+@anchor{pyGHDL/pyGHDL doc}@anchor{1a9}@anchor{pyGHDL/pyGHDL module-pyGHDL}@anchor{0}@anchor{pyGHDL/pyGHDL pyghdl}@anchor{1aa}
+@chapter pyGHDL
+
+
+@geindex module; pyGHDL
+@anchor{pyGHDL/pyGHDL python-interface}@anchor{1ab}
+GHDL offers two Python interfaces and a language server protocol service. All
+this is provided from a @code{pyGHDL} packages with four sub-packages:
-The compilation scripts are writen in the shell languages: @emph{PowerShell} for
-@emph{Windows} ™ and @emph{Bash} for @emph{GNU/Linux}. The compile scripts can colorize
-the GHDL warning and error lines with the help of @cite{grc/grcat} @footnote{
-Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/software/grc.html}
-}.
+
+@itemize *
+
+@item
+@code{pyGHDL.cli} - Command line interface (CLI) applications.
+
+@item
+@code{pyGHDL.dom} - A high-level API offering a document object model (DOM). The underlying abstract VHDL language model is
+provided by pyVHDLModel@footnote{https://github.com/VHDL/pyVHDLModel}. The DOM is using @code{libghdl} for file analysis and
+parsing.
+
+@item
+@code{pyGHDL.libghdl} - A low-level API directly interacting with the shared library @code{libghdl....so}/@code{libghdl....dll}.
+This is a procedural and C-like interface. It comes with some Python generators for easier iterating linked lists.
+
+@item
+@code{pyGHDL.lsp} - A language server protocol@footnote{https://en.wikipedia.org/wiki/Language_Server_Protocol} (LSP)
+written in Python. The implementation offers an HTTPS service that can be used e.g. by editors and IDEs supporting LSP.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Submodules}
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
@menu
-* Supported Vendors Libraries::
-* Supported Simulation and Verification Libraries::
-* Script Configuration::
-* Compiling on Linux::
-* Compiling on Windows::
-* Configuration Files::
+* pyGHDL.cli: pyGHDL cli.
+* pyGHDL.dom: pyGHDL dom.
+* pyGHDL.libghdl: pyGHDL libghdl.
+* pyGHDL.lsp: pyGHDL lsp.
@end menu
-@node Supported Vendors Libraries,Supported Simulation and Verification Libraries,,Precompile Vendor Primitives
-@anchor{getting/PrecompileVendorPrimitives supported-vendors-libraries}@anchor{f2}
-@section Supported Vendors Libraries
+@node pyGHDL cli,pyGHDL dom,,pyGHDL
+@anchor{pyGHDL/pyGHDL cli doc}@anchor{1ac}@anchor{pyGHDL/pyGHDL cli module-pyGHDL cli}@anchor{1}@anchor{pyGHDL/pyGHDL cli pyghdl-cli}@anchor{1ad}
+@section pyGHDL.cli
+@geindex module; pyGHDL.cli
-@itemize *
+@c #-----------------------------------
-@item
-Altera/Intel Quartus (13.0 or later):
+@strong{Submodules}
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@itemize *
+@c This data file has been placed in the public domain.
-@item
-@cite{lpm}, @cite{sgate}
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@item
-@cite{altera}, @cite{altera_mf}, @cite{altera_lnsim}
+@c This data file has been placed in the public domain.
-@item
-@cite{arriaii}, @cite{arriaii_pcie_hip}, @cite{arriaiigz}
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@item
-@cite{arriav}, @cite{arriavgz}, @cite{arriavgz_pcie_hip}
+@c # define a hard line break for HTML
-@item
-@cite{cycloneiv}, @cite{cycloneiv_pcie_hip}, @cite{cycloneive}
+@menu
+* pyGHDL.cli.DOM: pyGHDL cli DOM.
+* pyGHDL.cli.lsp: pyGHDL cli lsp.
-@item
-@cite{cyclonev}
+@end menu
-@item
-@cite{max}, @cite{maxii}, @cite{maxv}
+@node pyGHDL cli DOM,pyGHDL cli lsp,,pyGHDL cli
+@anchor{pyGHDL/pyGHDL cli DOM doc}@anchor{1ae}@anchor{pyGHDL/pyGHDL cli DOM module-pyGHDL cli DOM}@anchor{2}@anchor{pyGHDL/pyGHDL cli DOM pyghdl-cli-dom}@anchor{1af}
+@subsection pyGHDL.cli.DOM
-@item
-@cite{stratixiv}, @cite{stratixiv_pcie_hip}
-@item
-@cite{stratixv}, @cite{stratixv_pcie_hip}
+@geindex module; pyGHDL.cli.DOM
-@item
-@cite{fiftyfivenm}, @cite{twentynm}
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL cli lsp,,pyGHDL cli DOM,pyGHDL cli
+@anchor{pyGHDL/pyGHDL cli lsp doc}@anchor{1b0}@anchor{pyGHDL/pyGHDL cli lsp module-pyGHDL cli lsp}@anchor{3}@anchor{pyGHDL/pyGHDL cli lsp pyghdl-cli-lsp}@anchor{1b1}
+@subsection pyGHDL.cli.lsp
+
+
+@geindex module; pyGHDL.cli.lsp
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1b2,,main()}:
+Entrypoint of GHDL’s Language Protocol Server.
@end itemize
-@item
-Lattice (3.6 or later):
+@c #-----------------------------------
+@strong{Functions}
-@itemize *
+@geindex main() (in module pyGHDL.cli.lsp)
+@anchor{pyGHDL/pyGHDL cli lsp pyGHDL cli lsp main}@anchor{1b2}
+@deffn {Function} pyGHDL.cli.lsp.main ()
-@item
-@cite{ec}
-@item
-@cite{ecp}, @cite{ecp2}, @cite{ecp3}, @cite{ecp5u}
+Entrypoint of GHDL’s Language Protocol Server.
+@end deffn
-@item
-@cite{lptm}, @cite{lptm2}
+@c #-----------------------------------
-@item
-@cite{machxo}, @cite{machxo2}, @cite{machxo3l}
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@item
-@cite{sc}, @cite{scm}
+@c This data file has been placed in the public domain.
-@item
-@cite{xp}, @cite{xp2}
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom,pyGHDL libghdl,pyGHDL cli,pyGHDL
+@anchor{pyGHDL/pyGHDL dom doc}@anchor{1b3}@anchor{pyGHDL/pyGHDL dom module-pyGHDL dom}@anchor{4}@anchor{pyGHDL/pyGHDL dom pyghdl-dom}@anchor{1b4}
+@section pyGHDL.dom
+
+
+@geindex module; pyGHDL.dom
+
+@c #-----------------------------------
+
+@strong{Submodules}
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@menu
+* pyGHDL.dom.Aggregates: pyGHDL dom Aggregates.
+* pyGHDL.dom.Common: pyGHDL dom Common.
+* pyGHDL.dom.DesignUnit: pyGHDL dom DesignUnit.
+* pyGHDL.dom.Expression: pyGHDL dom Expression.
+* pyGHDL.dom.InterfaceItem: pyGHDL dom InterfaceItem.
+* pyGHDL.dom.Literal: pyGHDL dom Literal.
+* pyGHDL.dom.Misc: pyGHDL dom Misc.
+* pyGHDL.dom.NonStandard: pyGHDL dom NonStandard.
+* pyGHDL.dom.Object: pyGHDL dom Object.
+* pyGHDL.dom.Range: pyGHDL dom Range.
+* pyGHDL.dom.Subprogram: pyGHDL dom Subprogram.
+* pyGHDL.dom.Symbol: pyGHDL dom Symbol.
+* pyGHDL.dom.Type: pyGHDL dom Type.
+* pyGHDL.dom._Translate: pyGHDL dom _Translate.
+* pyGHDL.dom._Utils: pyGHDL dom _Utils.
+* pyGHDL.dom.formatting: pyGHDL dom formatting.
+
+@end menu
+
+@node pyGHDL dom Aggregates,pyGHDL dom Common,,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Aggregates doc}@anchor{1b5}@anchor{pyGHDL/pyGHDL dom Aggregates module-pyGHDL dom Aggregates}@anchor{7}@anchor{pyGHDL/pyGHDL dom Aggregates pyghdl-dom-aggregates}@anchor{1b6}
+@subsection pyGHDL.dom.Aggregates
+
+
+@geindex module; pyGHDL.dom.Aggregates
+
+This module contains all DOM classes for VHDL’s design units (@code{context},
+@code{architecture}, @code{package},
+@code{package body}, @code{context} and
+@code{configuration}.
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{1b7,,SimpleAggregateElement}:
+A @code{AggregateElement} is a base-class for all aggregate elements.
+
+@item
+@ref{1b8,,IndexedAggregateElement}:
+A @code{AggregateElement} is a base-class for all aggregate elements.
+
+@item
+@ref{1b9,,RangedAggregateElement}:
+A @code{AggregateElement} is a base-class for all aggregate elements.
+
+@item
+@ref{1ba,,NamedAggregateElement}:
+A @code{AggregateElement} is a base-class for all aggregate elements.
+
+@item
+@ref{1bb,,OthersAggregateElement}:
+A @code{AggregateElement} is a base-class for all aggregate elements.
@end itemize
-@item
-Xilinx ISE (14.0 or later):
+@c #-----------------------------------
+@geindex SimpleAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement}@anchor{1b7}
+@deffn {Class} pyGHDL.dom.Aggregates.SimpleAggregateElement (expression)
-@itemize *
-@item
-@cite{unisim} (incl. @cite{secureip})
+@subsubheading Inheritance
-@item
-@cite{unimacro}
+@image{inheritance-c3f93d0a4b56a1759e92cc9ef374dc830c3ec38e,,,[graphviz],png}
-@item
-@cite{simprim} (incl. @cite{secureip})
+@subsubheading Members
-@item
-@cite{xilinxcorelib}
+
+@geindex _expression (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _expression}@anchor{1bc}
+@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Expression() (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Expression}@anchor{1bd}
+@deffn {Method} property Expression
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Parent}@anchor{1be}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _parent}@anchor{1bf}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex IndexedAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement}@anchor{1b8}
+@deffn {Class} pyGHDL.dom.Aggregates.IndexedAggregateElement (index, expression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-d548b2779defea5a031c9d23d07c8a959a047399,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _index (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _index}@anchor{1c0}
+@deffn {Attribute} _index: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _expression}@anchor{1c1}
+@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Expression() (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Expression}@anchor{1c2}
+@deffn {Method} property Expression
+@end deffn
+
+@geindex Index() (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Index}@anchor{1c3}
+@deffn {Method} property Index
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Parent}@anchor{1c4}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _parent}@anchor{1c5}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex RangedAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement}@anchor{1b9}
+@deffn {Class} pyGHDL.dom.Aggregates.RangedAggregateElement (r, expression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-59dd78653d6e3b19ed404fa221cba86546ff6948,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _range (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _range}@anchor{1c6}
+@deffn {Attribute} _range: pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _expression}@anchor{1c7}
+@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Expression() (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Expression}@anchor{1c8}
+@deffn {Method} property Expression
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Parent}@anchor{1c9}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Range() (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Range}@anchor{1ca}
+@deffn {Method} property Range
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _parent}@anchor{1cb}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex NamedAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement}@anchor{1ba}
+@deffn {Class} pyGHDL.dom.Aggregates.NamedAggregateElement (name, expression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6aa50dbf95357e4ec2b8d89c8516c4771649aae7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _name (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _name}@anchor{1cc}
+@deffn {Attribute} _name: pyVHDLModel.VHDLModel.EnumerationLiteralSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteralSymbol}
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _expression}@anchor{1cd}
+@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Expression() (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Expression}@anchor{1ce}
+@deffn {Method} property Expression
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Name}@anchor{1cf}
+@deffn {Method} property Name
+
+@*Return type:
+EnumerationLiteralSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteralSymbol}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Parent}@anchor{1d0}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _parent}@anchor{1d1}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex OthersAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement}@anchor{1bb}
+@deffn {Class} pyGHDL.dom.Aggregates.OthersAggregateElement (expression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-667f878795f3a861c81b3a6c7eb7bbb7413bfb7c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _expression (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _expression}@anchor{1d2}
+@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Expression() (pyGHDL.dom.Aggregates.OthersAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Expression}@anchor{1d3}
+@deffn {Method} property Expression
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Aggregates.OthersAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Parent}@anchor{1d4}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _parent}@anchor{1d5}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Common,pyGHDL dom DesignUnit,pyGHDL dom Aggregates,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Common doc}@anchor{1d6}@anchor{pyGHDL/pyGHDL dom Common module-pyGHDL dom Common}@anchor{8}@anchor{pyGHDL/pyGHDL dom Common pyghdl-dom-common}@anchor{1d7}
+@subsection pyGHDL.dom.Common
+
+
+@geindex module; pyGHDL.dom.Common
+
+@cartouche
+@quotation Todo
+Add a module documentation.
+@end quotation
+@end cartouche
+
+@c #-----------------------------------
+
+@strong{Exceptions}
+
+
+@itemize -
+
+@item
+@ref{1d8,,DOMException}:
+Common base class for all non-exit exceptions.
+
+@item
+@ref{1d9,,GHDLException}:
+Common base class for all non-exit exceptions.
@end itemize
-@item
-Xilinx Vivado (2014.1 or later):
+@c #-----------------------------------
+@geindex DOMException
+@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common DOMException}@anchor{1d8}
+@deffn {Exception} pyGHDL.dom.Common.DOMException
-@itemize *
-@item
-@cite{unisim} (incl. @cite{secureip})
+@subsubheading Inheritance
-@item
-@cite{unimacro}
+@image{inheritance-dd8c6e30c3b945a37cf91ec1fb934b3d21e7d6c2,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex args (pyGHDL.dom.Common.DOMException attribute)
+@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common DOMException args}@anchor{1da}
+@deffn {Attribute} args
+@end deffn
+
+@geindex with_traceback() (pyGHDL.dom.Common.DOMException method)
+@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common DOMException with_traceback}@anchor{1db}
+@deffn {Method} with_traceback ()
+
+Exception.with_traceback(tb) –
+set self.__traceback__ to tb and return self.
+@end deffn
+@end deffn
+
+@geindex GHDLException
+@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common GHDLException}@anchor{1d9}
+@deffn {Exception} pyGHDL.dom.Common.GHDLException
+
+
+@subsubheading Inheritance
+
+@image{inheritance-bf4ac63cdf3401093f98d50534eb79f21ea57ad3,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex args (pyGHDL.dom.Common.GHDLException attribute)
+@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common GHDLException args}@anchor{1dc}
+@deffn {Attribute} args
+@end deffn
+
+@geindex with_traceback() (pyGHDL.dom.Common.GHDLException method)
+@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common GHDLException with_traceback}@anchor{1dd}
+@deffn {Method} with_traceback ()
+
+Exception.with_traceback(tb) –
+set self.__traceback__ to tb and return self.
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom DesignUnit,pyGHDL dom Expression,pyGHDL dom Common,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom DesignUnit doc}@anchor{1de}@anchor{pyGHDL/pyGHDL dom DesignUnit module-pyGHDL dom DesignUnit}@anchor{9}@anchor{pyGHDL/pyGHDL dom DesignUnit pyghdl-dom-designunit}@anchor{1df}
+@subsection pyGHDL.dom.DesignUnit
+
+
+@geindex module; pyGHDL.dom.DesignUnit
+
+This module contains all DOM classes for VHDL’s design units (@ref{1e0,,context},
+@ref{1e1,,architecture}, @ref{1e2,,package},
+@ref{1e3,,package body}, @ref{1e4,,context} and
+@ref{1e5,,configuration}.
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{1e0,,Entity}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{1e1,,Architecture}:
+A @code{SecondaryUnit} is a base-class for all secondary units.
+
+@item
+@ref{1e6,,Component}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{1e2,,Package}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{1e3,,PackageBody}:
+A @code{SecondaryUnit} is a base-class for all secondary units.
+
+@item
+@ref{1e4,,Context}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{1e5,,Configuration}:
+A @code{PrimaryUnit} is a base-class for all primary units.
@end itemize
+
+@c #-----------------------------------
+
+@geindex Entity (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity}@anchor{1e0}
+@deffn {Class} pyGHDL.dom.DesignUnit.Entity (name, genericItems=None, portItems=None, declaredItems=None, bodyItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-3ae3b3449e77ed08d16acebda167356bbbf585ed,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Entity class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity parse}@anchor{1e7}
+@deffn {Method} classmethod parse (entityNode)
+
+@end deffn
+
+@geindex BodyItems() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity BodyItems}@anchor{1e8}
+@deffn {Method} property BodyItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextReferences}@anchor{1e9}
+@deffn {Method} property ContextReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity DeclaredItems}@anchor{1ea}
+@deffn {Method} property DeclaredItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex GenericItems() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity GenericItems}@anchor{1eb}
+@deffn {Method} property GenericItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity LibraryReferences}@anchor{1ec}
+@deffn {Method} property LibraryReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Name}@anchor{1ed}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PackageReferences}@anchor{1ee}
+@deffn {Method} property PackageReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Parent}@anchor{1ef}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortItems() (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PortItems}@anchor{1f0}
+@deffn {Method} property PortItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+
+@end deffn
+
+@geindex _genericItems (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _genericItems}@anchor{1f1}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@end deffn
+
+@geindex _portItems (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _portItems}@anchor{1f2}
+@deffn {Attribute} _portItems: List[pyVHDLModel.VHDLModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _declaredItems}@anchor{1f3}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _bodyItems (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _bodyItems}@anchor{1f4}
+@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _parent}@anchor{1f5}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _name}@anchor{1f6}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _libraryReferences}@anchor{1f7}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@end deffn
+
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _packageReferences}@anchor{1f8}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextReferences}@anchor{1f9}
+@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+@end deffn
+
+@geindex Architecture (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture}@anchor{1e1}
+@deffn {Class} pyGHDL.dom.DesignUnit.Architecture (name, entity, declaredItems=None, bodyItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-89fbd3adff46851e21d60d3a2c1bae5f1403328b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Architecture class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture parse}@anchor{1fa}
+@deffn {Method} classmethod parse (architectureNode)
+
+@end deffn
+
+@geindex resolve() (pyGHDL.dom.DesignUnit.Architecture method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture resolve}@anchor{1fb}
+@deffn {Method} resolve ()
+
+@end deffn
+
+@geindex BodyItems() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture BodyItems}@anchor{1fc}
+@deffn {Method} property BodyItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextReferences}@anchor{1fd}
+@deffn {Method} property ContextReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture DeclaredItems}@anchor{1fe}
+@deffn {Method} property DeclaredItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Entity() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Entity}@anchor{1ff}
+@deffn {Method} property Entity
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}, EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EntitySymbol}]
+
+@end deffn
+
+@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture LibraryReferences}@anchor{200}
+@deffn {Method} property LibraryReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Name}@anchor{201}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture PackageReferences}@anchor{202}
+@deffn {Method} property PackageReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Parent}@anchor{203}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _entity (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _entity}@anchor{204}
+@deffn {Attribute} _entity: Union[pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}, pyVHDLModel.VHDLModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EntitySymbol}]
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _declaredItems}@anchor{205}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _bodyItems (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _bodyItems}@anchor{206}
+@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _parent}@anchor{207}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _name}@anchor{208}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _libraryReferences}@anchor{209}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@end deffn
+
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _packageReferences}@anchor{20a}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextReferences}@anchor{20b}
+@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+@end deffn
+
+@geindex Component (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component}@anchor{1e6}
+@deffn {Class} pyGHDL.dom.DesignUnit.Component (name, genericItems=None, portItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-cd8e7d3464d5ef927adb29c714590c550f0b1ba4,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Component class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component parse}@anchor{20c}
+@deffn {Method} classmethod parse (componentNode)
+
+@end deffn
+
+@geindex GenericItems() (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component GenericItems}@anchor{20d}
+@deffn {Method} property GenericItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Name}@anchor{20e}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Parent}@anchor{20f}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortItems() (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component PortItems}@anchor{210}
+@deffn {Method} property PortItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+
+@end deffn
+
+@geindex _genericItems (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _genericItems}@anchor{211}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@end deffn
+
+@geindex _portItems (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _portItems}@anchor{212}
+@deffn {Attribute} _portItems: List[pyVHDLModel.VHDLModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _parent}@anchor{213}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _name}@anchor{214}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex Package (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package}@anchor{1e2}
+@deffn {Class} pyGHDL.dom.DesignUnit.Package (name, genericItems=None, declaredItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-4ceb8156f37dccdb04179256adc3df62b9daa340,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Package class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package parse}@anchor{215}
+@deffn {Method} classmethod parse (packageNode)
+
+@end deffn
+
+@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextReferences}@anchor{216}
+@deffn {Method} property ContextReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package DeclaredItems}@anchor{217}
+@deffn {Method} property DeclaredItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex GenericItems() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package GenericItems}@anchor{218}
+@deffn {Method} property GenericItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package LibraryReferences}@anchor{219}
+@deffn {Method} property LibraryReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Name}@anchor{21a}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package PackageReferences}@anchor{21b}
+@deffn {Method} property PackageReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Parent}@anchor{21c}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _genericItems (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _genericItems}@anchor{21d}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _declaredItems}@anchor{21e}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _parent}@anchor{21f}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _name}@anchor{220}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _libraryReferences}@anchor{221}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@end deffn
+
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _packageReferences}@anchor{222}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextReferences}@anchor{223}
+@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+@end deffn
+
+@geindex PackageBody (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody}@anchor{1e3}
+@deffn {Class} pyGHDL.dom.DesignUnit.PackageBody (name, declaredItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-48aac6c98ebe0a3b9e803037599e790555f5202a,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.PackageBody class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody parse}@anchor{224}
+@deffn {Method} classmethod parse (packageBodyNode)
+
+@end deffn
+
+@geindex ContextReferences() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextReferences}@anchor{225}
+@deffn {Method} property ContextReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody DeclaredItems}@anchor{226}
+@deffn {Method} property DeclaredItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody LibraryReferences}@anchor{227}
+@deffn {Method} property LibraryReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Name}@anchor{228}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Package() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Package}@anchor{229}
+@deffn {Method} property Package
+
+@*Return type:
+Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}
+
+@end deffn
+
+@geindex PackageReferences() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody PackageReferences}@anchor{22a}
+@deffn {Method} property PackageReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Parent}@anchor{22b}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _package (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _package}@anchor{22c}
+@deffn {Attribute} _package: pyVHDLModel.VHDLModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _declaredItems}@anchor{22d}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _parent}@anchor{22e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _name}@anchor{22f}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _libraryReferences}@anchor{230}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@end deffn
+
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _packageReferences}@anchor{231}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextReferences}@anchor{232}
+@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+@end deffn
+
+@geindex Context (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context}@anchor{1e4}
+@deffn {Class} pyGHDL.dom.DesignUnit.Context (name)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-527cdf5fb333bd9e4dcff0ddafe5fdaababbb6eb,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Context class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context parse}@anchor{233}
+@deffn {Method} classmethod parse (libraryUnit)
+
+@end deffn
+
+@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context LibraryReferences}@anchor{234}
+@deffn {Method} property LibraryReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Name}@anchor{235}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context PackageReferences}@anchor{236}
+@deffn {Method} property PackageReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Parent}@anchor{237}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _libraryReferences}@anchor{238}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@end deffn
+
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _packageReferences}@anchor{239}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _parent}@anchor{23a}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _name}@anchor{23b}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex Configuration (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration}@anchor{1e5}
+@deffn {Class} pyGHDL.dom.DesignUnit.Configuration (name)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-05edcd4e1af65a900addfcb1d2cbf783600ad8e1,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Configuration class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration parse}@anchor{23c}
+@deffn {Method} classmethod parse (configuration)
+
+@end deffn
+
+@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextReferences}@anchor{23d}
+@deffn {Method} property ContextReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration LibraryReferences}@anchor{23e}
+@deffn {Method} property LibraryReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Name}@anchor{23f}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration PackageReferences}@anchor{240}
+@deffn {Method} property PackageReferences
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Parent}@anchor{241}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _parent}@anchor{242}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _name}@anchor{243}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _libraryReferences}@anchor{244}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@end deffn
+
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _packageReferences}@anchor{245}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextReferences}@anchor{246}
+@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Expression,pyGHDL dom InterfaceItem,pyGHDL dom DesignUnit,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Expression doc}@anchor{247}@anchor{pyGHDL/pyGHDL dom Expression module-pyGHDL dom Expression}@anchor{a}@anchor{pyGHDL/pyGHDL dom Expression pyghdl-dom-expression}@anchor{248}
+@subsection pyGHDL.dom.Expression
+
+
+@geindex module; pyGHDL.dom.Expression
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{249,,InverseExpression}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{24a,,IdentityExpression}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{24b,,NegationExpression}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{24c,,AbsoluteExpression}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{24d,,ParenthesisExpression}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{24e,,TypeConversion}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{24f,,FunctionCall}:
+A @code{UnaryExpression} is a base-class for all unary expressions.
+
+@item
+@ref{250,,AdditionExpression}:
+A @code{AddingExpression} is a base-class for all adding expressions.
+
+@item
+@ref{251,,SubtractionExpression}:
+A @code{AddingExpression} is a base-class for all adding expressions.
+
+@item
+@ref{252,,ConcatenationExpression}:
+A @code{AddingExpression} is a base-class for all adding expressions.
+
+@item
+@ref{253,,MultiplyExpression}:
+A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
+
+@item
+@ref{254,,DivisionExpression}:
+A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
+
+@item
+@ref{255,,RemainderExpression}:
+A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
+
+@item
+@ref{256,,ModuloExpression}:
+A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
+
+@item
+@ref{257,,ExponentiationExpression}:
+A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
+
+@item
+@ref{258,,AndExpression}:
+A @code{LogicalExpression} is a base-class for all logical expressions.
+
+@item
+@ref{259,,NandExpression}:
+A @code{LogicalExpression} is a base-class for all logical expressions.
+
+@item
+@ref{25a,,OrExpression}:
+A @code{LogicalExpression} is a base-class for all logical expressions.
+
+@item
+@ref{25b,,NorExpression}:
+A @code{LogicalExpression} is a base-class for all logical expressions.
+
+@item
+@ref{25c,,XorExpression}:
+A @code{LogicalExpression} is a base-class for all logical expressions.
+
+@item
+@ref{25d,,XnorExpression}:
+A @code{LogicalExpression} is a base-class for all logical expressions.
+
+@item
+@ref{25e,,EqualExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{25f,,UnequalExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{260,,LessThanExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{261,,LessEqualExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{262,,GreaterThanExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{263,,GreaterEqualExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{264,,ShiftRightLogicExpression}:
+A @code{ShiftExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{265,,ShiftLeftLogicExpression}:
+A @code{ShiftExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{266,,ShiftRightArithmeticExpression}:
+A @code{ShiftExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{267,,ShiftLeftArithmeticExpression}:
+A @code{ShiftExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{268,,RotateRightExpression}:
+A @code{ShiftExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{269,,RotateLeftExpression}:
+A @code{ShiftExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{26a,,QualifiedExpression}:
+A @code{BaseExpression} is a base-class for all expressions.
+
+@item
+@ref{26b,,Aggregate}:
+A @code{BaseExpression} is a base-class for all expressions.
@end itemize
-@node Supported Simulation and Verification Libraries,Script Configuration,Supported Vendors Libraries,Precompile Vendor Primitives
-@anchor{getting/PrecompileVendorPrimitives supported-simulation-and-verification-libraries}@anchor{f3}
-@section Supported Simulation and Verification Libraries
+@c #-----------------------------------
+@geindex InverseExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression}@anchor{249}
+@deffn {Class} pyGHDL.dom.Expression.InverseExpression (operand)
-@itemize *
+@subsubheading Inheritance
-@item
-OSVVM (for VHDL-2008)
+@image{inheritance-ebf94b93dc71822a685f69404832f6b42219a309,,,[graphviz],png}
+@subsubheading Members
-@itemize *
-@item
-osvvm
+@geindex _operand (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _operand}@anchor{26c}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.InverseExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Operand}@anchor{26d}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.InverseExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Parent}@anchor{26e}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _FORMAT}@anchor{26f}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('not ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.InverseExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression parse}@anchor{270}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _parent}@anchor{271}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex IdentityExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression}@anchor{24a}
+@deffn {Class} pyGHDL.dom.Expression.IdentityExpression (operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-f717c39fd9b91deb5449622a4f6b78d1dad69737,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _operand (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _operand}@anchor{272}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.IdentityExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Operand}@anchor{273}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.IdentityExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Parent}@anchor{274}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _FORMAT}@anchor{275}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('+', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.IdentityExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression parse}@anchor{276}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _parent}@anchor{277}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex NegationExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression}@anchor{24b}
+@deffn {Class} pyGHDL.dom.Expression.NegationExpression (operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-44eaef0d516930ae28d93dc0ef532a38c3fe9e1b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _operand (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _operand}@anchor{278}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.NegationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Operand}@anchor{279}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.NegationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Parent}@anchor{27a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _FORMAT}@anchor{27b}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{-}', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.NegationExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression parse}@anchor{27c}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _parent}@anchor{27d}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex AbsoluteExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression}@anchor{24c}
+@deffn {Class} pyGHDL.dom.Expression.AbsoluteExpression (operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-cda8461fe6002e0de2bb482df3cfdf4853f5b426,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _operand (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _operand}@anchor{27e}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.AbsoluteExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Operand}@anchor{27f}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.AbsoluteExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Parent}@anchor{280}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _FORMAT}@anchor{281}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('abs ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.AbsoluteExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression parse}@anchor{282}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _parent}@anchor{283}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ParenthesisExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression}@anchor{24d}
+@deffn {Class} pyGHDL.dom.Expression.ParenthesisExpression (operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6b2fd298f5ecf6882a7f70c2266dbe75e7546955,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _operand (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _operand}@anchor{284}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ParenthesisExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression parse}@anchor{285}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.ParenthesisExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Operand}@anchor{286}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ParenthesisExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Parent}@anchor{287}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _FORMAT}@anchor{288}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('(', ')')
+@end deffn
+
+@geindex _abc_impl (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _abc_impl}@anchor{289}
+@deffn {Attribute} _abc_impl = <_abc_data object>
+@end deffn
+
+@geindex _is_protocol (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _is_protocol}@anchor{28a}
+@deffn {Attribute} _is_protocol = False
+@end deffn
+
+@geindex _is_runtime_protocol (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _is_runtime_protocol}@anchor{28b}
+@deffn {Attribute} _is_runtime_protocol = False
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _parent}@anchor{28c}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex TypeConversion (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion}@anchor{24e}
+@deffn {Class} pyGHDL.dom.Expression.TypeConversion (operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-97b950bea2d2c21bbed8b3120aecbb83703174c4,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _operand (pyGHDL.dom.Expression.TypeConversion attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _operand}@anchor{28d}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.TypeConversion property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Operand}@anchor{28e}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.TypeConversion property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Parent}@anchor{28f}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.TypeConversion attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _FORMAT}@anchor{290}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.TypeConversion attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _parent}@anchor{291}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex FunctionCall (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall}@anchor{24f}
+@deffn {Class} pyGHDL.dom.Expression.FunctionCall (operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-f5ba7cac5cea754eeed46a2a0871bf8931b8fd44,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _operand (pyGHDL.dom.Expression.FunctionCall attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _operand}@anchor{292}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.FunctionCall property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Operand}@anchor{293}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.FunctionCall property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Parent}@anchor{294}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.FunctionCall attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _FORMAT}@anchor{295}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.FunctionCall attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _parent}@anchor{296}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex AdditionExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression}@anchor{250}
+@deffn {Class} pyGHDL.dom.Expression.AdditionExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-69b01e1a82daac56ad0f5a9f0b461d9ef67c04ae,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _leftOperand}@anchor{297}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _rightOperand}@anchor{298}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression LeftOperand}@anchor{299}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Parent}@anchor{29a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression RightOperand}@anchor{29b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _FORMAT}@anchor{29c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' + ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.AdditionExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression parse}@anchor{29d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _parent}@anchor{29e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex SubtractionExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression}@anchor{251}
+@deffn {Class} pyGHDL.dom.Expression.SubtractionExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-ab0e5986596d55be639e17fd8533e8649a332df6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _leftOperand}@anchor{29f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _rightOperand}@anchor{2a0}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression LeftOperand}@anchor{2a1}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Parent}@anchor{2a2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression RightOperand}@anchor{2a3}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _FORMAT}@anchor{2a4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' @w{-} ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.SubtractionExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression parse}@anchor{2a5}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _parent}@anchor{2a6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ConcatenationExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression}@anchor{252}
+@deffn {Class} pyGHDL.dom.Expression.ConcatenationExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-ce053223caa26a9b940864e3708120a81a9e8600,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _leftOperand}@anchor{2a7}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _rightOperand}@anchor{2a8}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression LeftOperand}@anchor{2a9}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Parent}@anchor{2aa}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression RightOperand}@anchor{2ab}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _FORMAT}@anchor{2ac}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' & ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ConcatenationExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression parse}@anchor{2ad}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _parent}@anchor{2ae}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex MultiplyExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression}@anchor{253}
+@deffn {Class} pyGHDL.dom.Expression.MultiplyExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-4fb660e2bbc79bae79cd3a5edac15f92c9d67ffe,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _leftOperand}@anchor{2af}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _rightOperand}@anchor{2b0}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression LeftOperand}@anchor{2b1}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Parent}@anchor{2b2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression RightOperand}@anchor{2b3}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _FORMAT}@anchor{2b4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' * ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.MultiplyExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression parse}@anchor{2b5}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _parent}@anchor{2b6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex DivisionExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression}@anchor{254}
+@deffn {Class} pyGHDL.dom.Expression.DivisionExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-82f9bb3a9047797687814bfa91dc850790b455aa,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _leftOperand}@anchor{2b7}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _rightOperand}@anchor{2b8}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression LeftOperand}@anchor{2b9}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Parent}@anchor{2ba}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression RightOperand}@anchor{2bb}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _FORMAT}@anchor{2bc}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' / ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.DivisionExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression parse}@anchor{2bd}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _parent}@anchor{2be}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex RemainderExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression}@anchor{255}
+@deffn {Class} pyGHDL.dom.Expression.RemainderExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-5c1fb44f560ae57629f48290aa5fe498fbcd94ea,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _leftOperand}@anchor{2bf}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _rightOperand}@anchor{2c0}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression LeftOperand}@anchor{2c1}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Parent}@anchor{2c2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression RightOperand}@anchor{2c3}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _FORMAT}@anchor{2c4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rem ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.RemainderExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression parse}@anchor{2c5}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _parent}@anchor{2c6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ModuloExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression}@anchor{256}
+@deffn {Class} pyGHDL.dom.Expression.ModuloExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-450ced08ab30bef55fcee4914a23d7da7261b99d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _leftOperand}@anchor{2c7}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _rightOperand}@anchor{2c8}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression LeftOperand}@anchor{2c9}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Parent}@anchor{2ca}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression RightOperand}@anchor{2cb}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _FORMAT}@anchor{2cc}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' mod ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ModuloExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression parse}@anchor{2cd}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _parent}@anchor{2ce}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ExponentiationExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression}@anchor{257}
+@deffn {Class} pyGHDL.dom.Expression.ExponentiationExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6414d391d76916afc9831c562b4a8314af2f9dbe,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _leftOperand}@anchor{2cf}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _rightOperand}@anchor{2d0}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression LeftOperand}@anchor{2d1}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Parent}@anchor{2d2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression RightOperand}@anchor{2d3}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _FORMAT}@anchor{2d4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, '**', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ExponentiationExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression parse}@anchor{2d5}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _parent}@anchor{2d6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex AndExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression}@anchor{258}
+@deffn {Class} pyGHDL.dom.Expression.AndExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-fa2e08ce1f59d096652dfc621ca49c95ddd7cf50,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _leftOperand}@anchor{2d7}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _rightOperand}@anchor{2d8}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression LeftOperand}@anchor{2d9}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Parent}@anchor{2da}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression RightOperand}@anchor{2db}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _FORMAT}@anchor{2dc}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' and ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.AndExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression parse}@anchor{2dd}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _parent}@anchor{2de}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex NandExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression}@anchor{259}
+@deffn {Class} pyGHDL.dom.Expression.NandExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-2671cdb7c819a6b5d73a24b8612ea95fb62f873b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _leftOperand}@anchor{2df}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _rightOperand}@anchor{2e0}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression LeftOperand}@anchor{2e1}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Parent}@anchor{2e2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression RightOperand}@anchor{2e3}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _FORMAT}@anchor{2e4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nand ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.NandExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression parse}@anchor{2e5}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _parent}@anchor{2e6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex OrExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression}@anchor{25a}
+@deffn {Class} pyGHDL.dom.Expression.OrExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-8ca8688ee1e9d126c03e6dc9d00d418366587adc,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _leftOperand}@anchor{2e7}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _rightOperand}@anchor{2e8}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression LeftOperand}@anchor{2e9}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Parent}@anchor{2ea}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression RightOperand}@anchor{2eb}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _FORMAT}@anchor{2ec}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' or ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.OrExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression parse}@anchor{2ed}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _parent}@anchor{2ee}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex NorExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression}@anchor{25b}
+@deffn {Class} pyGHDL.dom.Expression.NorExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-9b8adbfcc7289eb648dbf0d6a7ad1fb3832e7c3d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _leftOperand}@anchor{2ef}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _rightOperand}@anchor{2f0}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression LeftOperand}@anchor{2f1}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Parent}@anchor{2f2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression RightOperand}@anchor{2f3}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _FORMAT}@anchor{2f4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nor ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.NorExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression parse}@anchor{2f5}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _parent}@anchor{2f6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex XorExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression}@anchor{25c}
+@deffn {Class} pyGHDL.dom.Expression.XorExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-1e5d758a21d0b967cd5678670c077c6fa7c9b4f9,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _leftOperand}@anchor{2f7}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _rightOperand}@anchor{2f8}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression LeftOperand}@anchor{2f9}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Parent}@anchor{2fa}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression RightOperand}@anchor{2fb}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _FORMAT}@anchor{2fc}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xor ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.XorExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression parse}@anchor{2fd}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _parent}@anchor{2fe}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex XnorExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression}@anchor{25d}
+@deffn {Class} pyGHDL.dom.Expression.XnorExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-ad2329812ea0092b2c04b873d40dcdca0168699e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _leftOperand}@anchor{2ff}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _rightOperand}@anchor{300}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression LeftOperand}@anchor{301}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Parent}@anchor{302}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression RightOperand}@anchor{303}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _FORMAT}@anchor{304}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xnor ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.XnorExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression parse}@anchor{305}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _parent}@anchor{306}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex EqualExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression}@anchor{25e}
+@deffn {Class} pyGHDL.dom.Expression.EqualExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-a723a0c34601aa43a1cb98dc4356b8bae1157812,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _leftOperand}@anchor{307}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _rightOperand}@anchor{308}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression LeftOperand}@anchor{309}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Parent}@anchor{30a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression RightOperand}@anchor{30b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _FORMAT}@anchor{30c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' = ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.EqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression parse}@anchor{30d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _parent}@anchor{30e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex UnequalExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression}@anchor{25f}
+@deffn {Class} pyGHDL.dom.Expression.UnequalExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-f5bc38e3684a36af478a191eb9d72ab80ea0e214,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _leftOperand}@anchor{30f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _rightOperand}@anchor{310}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression LeftOperand}@anchor{311}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Parent}@anchor{312}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression RightOperand}@anchor{313}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _FORMAT}@anchor{314}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' /= ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.UnequalExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression parse}@anchor{315}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _parent}@anchor{316}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex LessThanExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression}@anchor{260}
+@deffn {Class} pyGHDL.dom.Expression.LessThanExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-bfce54434d425a3a3a3d781c699f8b3d15a76eb2,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _leftOperand}@anchor{317}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _rightOperand}@anchor{318}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression LeftOperand}@anchor{319}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Parent}@anchor{31a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression RightOperand}@anchor{31b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _FORMAT}@anchor{31c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' < ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.LessThanExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression parse}@anchor{31d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _parent}@anchor{31e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex LessEqualExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression}@anchor{261}
+@deffn {Class} pyGHDL.dom.Expression.LessEqualExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-d674c78a9ccbf4561acfcbb5b5539382706df5d8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _leftOperand}@anchor{31f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _rightOperand}@anchor{320}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression LeftOperand}@anchor{321}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Parent}@anchor{322}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression RightOperand}@anchor{323}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _FORMAT}@anchor{324}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' <= ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.LessEqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression parse}@anchor{325}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _parent}@anchor{326}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex GreaterThanExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression}@anchor{262}
+@deffn {Class} pyGHDL.dom.Expression.GreaterThanExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-89732104af76841a416681830e5a3f5767ba30c2,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _leftOperand}@anchor{327}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _rightOperand}@anchor{328}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression LeftOperand}@anchor{329}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Parent}@anchor{32a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression RightOperand}@anchor{32b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _FORMAT}@anchor{32c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' > ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.GreaterThanExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression parse}@anchor{32d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _parent}@anchor{32e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex GreaterEqualExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression}@anchor{263}
+@deffn {Class} pyGHDL.dom.Expression.GreaterEqualExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-b302d15f74c36b531314d68766f7a10faf1fdb1a,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _leftOperand}@anchor{32f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _rightOperand}@anchor{330}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression LeftOperand}@anchor{331}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Parent}@anchor{332}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression RightOperand}@anchor{333}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _FORMAT}@anchor{334}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' >= ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.GreaterEqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression parse}@anchor{335}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _parent}@anchor{336}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ShiftRightLogicExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression}@anchor{264}
+@deffn {Class} pyGHDL.dom.Expression.ShiftRightLogicExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-f51e664a58207a768b274e69d196cd890679bbdb,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _leftOperand}@anchor{337}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _rightOperand}@anchor{338}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression LeftOperand}@anchor{339}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Parent}@anchor{33a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression RightOperand}@anchor{33b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _FORMAT}@anchor{33c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' srl ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftRightLogicExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression parse}@anchor{33d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _parent}@anchor{33e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ShiftLeftLogicExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression}@anchor{265}
+@deffn {Class} pyGHDL.dom.Expression.ShiftLeftLogicExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-305e5826bdc3f6afedf0730070e90d0f3f7c927b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _leftOperand}@anchor{33f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _rightOperand}@anchor{340}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression LeftOperand}@anchor{341}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Parent}@anchor{342}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression RightOperand}@anchor{343}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _FORMAT}@anchor{344}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sll ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftLeftLogicExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression parse}@anchor{345}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _parent}@anchor{346}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ShiftRightArithmeticExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression}@anchor{266}
+@deffn {Class} pyGHDL.dom.Expression.ShiftRightArithmeticExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-0a4b6b1c0df58158356d3519981a2979f3f2179c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _leftOperand}@anchor{347}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _rightOperand}@anchor{348}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression LeftOperand}@anchor{349}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Parent}@anchor{34a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression RightOperand}@anchor{34b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _FORMAT}@anchor{34c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sra ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression parse}@anchor{34d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _parent}@anchor{34e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ShiftLeftArithmeticExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression}@anchor{267}
+@deffn {Class} pyGHDL.dom.Expression.ShiftLeftArithmeticExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-1ecffd298c993a1a2a372b2fd9fac84becd91863,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _leftOperand}@anchor{34f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _rightOperand}@anchor{350}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression LeftOperand}@anchor{351}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Parent}@anchor{352}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression RightOperand}@anchor{353}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _FORMAT}@anchor{354}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sla ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression parse}@anchor{355}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _parent}@anchor{356}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex RotateRightExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression}@anchor{268}
+@deffn {Class} pyGHDL.dom.Expression.RotateRightExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-ee8a6fb8a3b7523ec07edfecb686b1668fb20a79,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _leftOperand}@anchor{357}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _rightOperand}@anchor{358}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression LeftOperand}@anchor{359}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Parent}@anchor{35a}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression RightOperand}@anchor{35b}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _FORMAT}@anchor{35c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ror ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.RotateRightExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression parse}@anchor{35d}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _parent}@anchor{35e}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex RotateLeftExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression}@anchor{269}
+@deffn {Class} pyGHDL.dom.Expression.RotateLeftExpression (left, right)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6efb4e8f601de3a5b89cb5c1d9d200095ddc6fc9,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _leftOperand}@anchor{35f}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _rightOperand}@anchor{360}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftOperand() (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression LeftOperand}@anchor{361}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Parent}@anchor{362}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression RightOperand}@anchor{363}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _FORMAT}@anchor{364}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rol ', '@w{'})
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.RotateLeftExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression parse}@anchor{365}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _parent}@anchor{366}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex QualifiedExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression}@anchor{26a}
+@deffn {Class} pyGHDL.dom.Expression.QualifiedExpression (subType, operand)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-205c4eb4ce3e073b02391fdf7ef7a56987f87a18,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _subtype (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _subtype}@anchor{367}
+@deffn {Attribute} _subtype: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _operand (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _operand}@anchor{368}
+@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.QualifiedExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression parse}@anchor{369}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Operand() (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Operand}@anchor{36a}
+@deffn {Method} property Operand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Parent}@anchor{36b}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubTyped() (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression SubTyped}@anchor{36c}
+@deffn {Method} property SubTyped
+@end deffn
+
+@geindex _abc_impl (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _abc_impl}@anchor{36d}
+@deffn {Attribute} _abc_impl = <_abc_data object>
+@end deffn
+
+@geindex _is_protocol (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _is_protocol}@anchor{36e}
+@deffn {Attribute} _is_protocol = False
+@end deffn
+
+@geindex _is_runtime_protocol (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _is_runtime_protocol}@anchor{36f}
+@deffn {Attribute} _is_runtime_protocol = False
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _parent}@anchor{370}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex Aggregate (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate}@anchor{26b}
+@deffn {Class} pyGHDL.dom.Expression.Aggregate (elements)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-62328b77062fa281b2f8155d70f579464fabdda6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _elements (pyGHDL.dom.Expression.Aggregate attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _elements}@anchor{371}
+@deffn {Attribute} _elements: List[pyVHDLModel.VHDLModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.AggregateElement}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.Aggregate class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate parse}@anchor{372}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Elements() (pyGHDL.dom.Expression.Aggregate property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Elements}@anchor{373}
+@deffn {Method} property Elements
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.AggregateElement}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Expression.Aggregate property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Parent}@anchor{374}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.Aggregate attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _parent}@anchor{375}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom InterfaceItem,pyGHDL dom Literal,pyGHDL dom Expression,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom InterfaceItem doc}@anchor{376}@anchor{pyGHDL/pyGHDL dom InterfaceItem module-pyGHDL dom InterfaceItem}@anchor{d}@anchor{pyGHDL/pyGHDL dom InterfaceItem pyghdl-dom-interfaceitem}@anchor{377}
+@subsection pyGHDL.dom.InterfaceItem
+
+
+@geindex module; pyGHDL.dom.InterfaceItem
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{378,,GenericConstantInterfaceItem}:
+A @code{GenericInterfaceItem} is a mixin class for all generic interface items.
+
+@item
+@ref{379,,PortSignalInterfaceItem}:
+A @code{PortInterfaceItem} is a mixin class for all port interface items.
+
+@item
+@ref{37a,,ParameterConstantInterfaceItem}:
+A @code{ParameterInterfaceItem} is a mixin class for all parameter interface items.
+
+@item
+@ref{37b,,ParameterVariableInterfaceItem}:
+A @code{ParameterInterfaceItem} is a mixin class for all parameter interface items.
+
+@item
+@ref{37c,,ParameterSignalInterfaceItem}:
+A @code{ParameterInterfaceItem} is a mixin class for all parameter interface items.
@end itemize
-@item
-UVVM (for VHDL-2008)
+@c #-----------------------------------
+@geindex GenericConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem}@anchor{378}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem (name, mode, subType, defaultExpression)
-@itemize *
-@item
-uvvm-utilities
+@subsubheading Inheritance
-@item
-uvvm-vvc-framework
+@image{inheritance-fc1611368958f6682a5c71e504db7709e042438d,,,[graphviz],png}
-@item
-uvvm-vip-avalon_mm
+@subsubheading Members
-@item
-uvvm-vip-axi_lite
-@item
-uvvm-vip-axi_stream
+@geindex parse() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem parse}@anchor{37d}
+@deffn {Method} classmethod parse (generic)
-@item
-uvvm-vip-gpio
+@end deffn
-@item
-uvvm-vip-i2c
+@geindex _subType (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _subType}@anchor{37e}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
-@item
-uvvm-vip-sbi
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _defaultExpression}@anchor{37f}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
-@item
-uvvm-vip-spi
+@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem DefaultExpression}@anchor{380}
+@deffn {Method} property DefaultExpression
-@item
-uvvm-vip-uart
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Mode() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Mode}@anchor{381}
+@deffn {Method} property Mode
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Name}@anchor{382}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Parent}@anchor{383}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem SubType}@anchor{384}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _parent}@anchor{385}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _name}@anchor{386}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _mode}@anchor{387}
+@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@end deffn
+@end deffn
+
+@geindex PortSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem}@anchor{379}
+@deffn {Class} pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem (name, mode, subType, defaultExpression=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-005c142b3bb5552396316b8fb24fece5339a3b7e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem parse}@anchor{388}
+@deffn {Method} classmethod parse (port)
+
+@end deffn
+
+@geindex _subType (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _subType}@anchor{389}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _defaultExpression}@anchor{38a}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem DefaultExpression}@anchor{38b}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Mode() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Mode}@anchor{38c}
+@deffn {Method} property Mode
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Name}@anchor{38d}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Parent}@anchor{38e}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem SubType}@anchor{38f}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _parent}@anchor{390}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _name}@anchor{391}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _mode}@anchor{392}
+@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@end deffn
+@end deffn
+
+@geindex ParameterConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem}@anchor{37a}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem (name, mode, subType, defaultExpression=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-2c84fb1ddace91065c3bf26bef17cfdc776fd041,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem parse}@anchor{393}
+@deffn {Method} classmethod parse (parameter)
+
+@end deffn
+
+@geindex _subType (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _subType}@anchor{394}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _defaultExpression}@anchor{395}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem DefaultExpression}@anchor{396}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Mode() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Mode}@anchor{397}
+@deffn {Method} property Mode
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Name}@anchor{398}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Parent}@anchor{399}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem SubType}@anchor{39a}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _parent}@anchor{39b}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _name}@anchor{39c}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _mode}@anchor{39d}
+@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@end deffn
+@end deffn
+
+@geindex ParameterVariableInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem}@anchor{37b}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem (name, mode, subType, defaultExpression=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-a59f7337edf06776254464e9bf9e302a4e1ff9a6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem parse}@anchor{39e}
+@deffn {Method} classmethod parse (parameter)
+
+@end deffn
+
+@geindex _subType (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _subType}@anchor{39f}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _defaultExpression}@anchor{3a0}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem DefaultExpression}@anchor{3a1}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Mode() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Mode}@anchor{3a2}
+@deffn {Method} property Mode
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Name}@anchor{3a3}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Parent}@anchor{3a4}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem SubType}@anchor{3a5}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _parent}@anchor{3a6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _name}@anchor{3a7}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _mode}@anchor{3a8}
+@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@end deffn
+@end deffn
+
+@geindex ParameterSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem}@anchor{37c}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem (name, mode, subType, defaultExpression=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-27eca86c80d6024f1c0764191387c256825592da,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem parse}@anchor{3a9}
+@deffn {Method} classmethod parse (parameter)
+
+@end deffn
+
+@geindex _subType (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _subType}@anchor{3aa}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _defaultExpression}@anchor{3ab}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem DefaultExpression}@anchor{3ac}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Mode() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Mode}@anchor{3ad}
+@deffn {Method} property Mode
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Name}@anchor{3ae}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Parent}@anchor{3af}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem SubType}@anchor{3b0}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _parent}@anchor{3b1}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _name}@anchor{3b2}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _mode}@anchor{3b3}
+@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Literal,pyGHDL dom Misc,pyGHDL dom InterfaceItem,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Literal doc}@anchor{3b4}@anchor{pyGHDL/pyGHDL dom Literal module-pyGHDL dom Literal}@anchor{e}@anchor{pyGHDL/pyGHDL dom Literal pyghdl-dom-literal}@anchor{3b5}
+@subsection pyGHDL.dom.Literal
+
+
+@geindex module; pyGHDL.dom.Literal
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{3b6,,EnumerationLiteral}:
+A @code{Literal} is a base-class for all literals.
+
+@item
+@ref{3b7,,IntegerLiteral}:
+A @code{NumericLiteral} is a base-class for all numeric literals.
+
+@item
+@ref{3b8,,FloatingPointLiteral}:
+A @code{NumericLiteral} is a base-class for all numeric literals.
+
+@item
+@ref{3b9,,PhysicalIntegerLiteral}:
+A @code{NumericLiteral} is a base-class for all numeric literals.
+
+@item
+@ref{3ba,,PhysicalFloatingLiteral}:
+A @code{NumericLiteral} is a base-class for all numeric literals.
+
+@item
+@ref{3bb,,CharacterLiteral}:
+A @code{Literal} is a base-class for all literals.
+
+@item
+@ref{3bc,,StringLiteral}:
+A @code{Literal} is a base-class for all literals.
@end itemize
+
+@c #-----------------------------------
+
+@geindex EnumerationLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral}@anchor{3b6}
+@deffn {Class} pyGHDL.dom.Literal.EnumerationLiteral (value)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-62aad6ae8d30ab9c071f16ec7473e252b411207c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.EnumerationLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral parse}@anchor{3bd}
+@deffn {Method} classmethod parse (literalNode)
+
+
+@*Return type:
+@ref{3b6,,EnumerationLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.EnumerationLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Parent}@anchor{3be}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.EnumerationLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Value}@anchor{3bf}
+@deffn {Method} property Value
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.EnumerationLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _value}@anchor{3c0}
+@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.EnumerationLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _parent}@anchor{3c1}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex IntegerLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral}@anchor{3b7}
+@deffn {Class} pyGHDL.dom.Literal.IntegerLiteral (value)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-0455a3e63024b6f0f47e10370e4baeec1137e67e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.IntegerLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral parse}@anchor{3c2}
+@deffn {Method} classmethod parse (node)
+
+
+@*Return type:
+@ref{3b7,,IntegerLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.IntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Parent}@anchor{3c3}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.IntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Value}@anchor{3c4}
+@deffn {Method} property Value
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.IntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _value}@anchor{3c5}
+@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.IntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _parent}@anchor{3c6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex FloatingPointLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral}@anchor{3b8}
+@deffn {Class} pyGHDL.dom.Literal.FloatingPointLiteral (value)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-98c71f0493992e9b165f01782f85753f80c81aae,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.FloatingPointLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral parse}@anchor{3c7}
+@deffn {Method} classmethod parse (node)
+
+
+@*Return type:
+@ref{3b8,,FloatingPointLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.FloatingPointLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Parent}@anchor{3c8}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.FloatingPointLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Value}@anchor{3c9}
+@deffn {Method} property Value
+
+@*Return type:
+float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _value}@anchor{3ca}
+@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _parent}@anchor{3cb}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex PhysicalIntegerLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral}@anchor{3b9}
+@deffn {Class} pyGHDL.dom.Literal.PhysicalIntegerLiteral (value, unitName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-10332ca56fee399c5e14eb681bc6094a1def2902,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.PhysicalIntegerLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral parse}@anchor{3cc}
+@deffn {Method} classmethod parse (node)
+
+
+@*Return type:
+@ref{3b9,,PhysicalIntegerLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Parent}@anchor{3cd}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex UnitName() (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral UnitName}@anchor{3ce}
+@deffn {Method} property UnitName
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Value}@anchor{3cf}
+@deffn {Method} property Value
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _value}@anchor{3d0}
+@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+
+@geindex _unitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _unitName}@anchor{3d1}
+@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _parent}@anchor{3d2}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex PhysicalFloatingLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral}@anchor{3ba}
+@deffn {Class} pyGHDL.dom.Literal.PhysicalFloatingLiteral (value, unitName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-08892a5be41a4a728cfd1e3ee39cbef7781883bd,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.PhysicalFloatingLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral parse}@anchor{3d3}
+@deffn {Method} classmethod parse (node)
+
+
+@*Return type:
+@ref{3ba,,PhysicalFloatingLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Parent}@anchor{3d4}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex UnitName() (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral UnitName}@anchor{3d5}
+@deffn {Method} property UnitName
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Value}@anchor{3d6}
+@deffn {Method} property Value
+
+@*Return type:
+float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _value}@anchor{3d7}
+@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@end deffn
+
+@geindex _unitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _unitName}@anchor{3d8}
+@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _parent}@anchor{3d9}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex CharacterLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral}@anchor{3bb}
+@deffn {Class} pyGHDL.dom.Literal.CharacterLiteral (value)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-7bbdfbfa295ba78f4e8065098b280fd6aa04d195,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.CharacterLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral parse}@anchor{3da}
+@deffn {Method} classmethod parse (node)
+
+
+@*Return type:
+@ref{3bb,,CharacterLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.CharacterLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Parent}@anchor{3db}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.CharacterLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Value}@anchor{3dc}
+@deffn {Method} property Value
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.CharacterLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _value}@anchor{3dd}
+@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.CharacterLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _parent}@anchor{3de}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex StringLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral}@anchor{3bc}
+@deffn {Class} pyGHDL.dom.Literal.StringLiteral (value)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-725aa062bc778d942923f0ffc2db22c8f0b21a95,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.StringLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral parse}@anchor{3df}
+@deffn {Method} classmethod parse (node)
+
+
+@*Return type:
+@ref{3bc,,StringLiteral}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Literal.StringLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Parent}@anchor{3e0}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Value() (pyGHDL.dom.Literal.StringLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Value}@anchor{3e1}
+@deffn {Method} property Value
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex _value (pyGHDL.dom.Literal.StringLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _value}@anchor{3e2}
+@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.StringLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _parent}@anchor{3e3}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Misc,pyGHDL dom NonStandard,pyGHDL dom Literal,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Misc doc}@anchor{3e4}@anchor{pyGHDL/pyGHDL dom Misc module-pyGHDL dom Misc}@anchor{f}@anchor{pyGHDL/pyGHDL dom Misc pyghdl-dom-misc}@anchor{3e5}
+@subsection pyGHDL.dom.Misc
+
+
+@geindex module; pyGHDL.dom.Misc
+
+@cartouche
+@quotation Todo
+Add a module documentation.
+@end quotation
+@end cartouche
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{3e6,,Position}:
+Undocumented.
+
+@item
+@ref{3e7,,Alias}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
@end itemize
+@c #-----------------------------------
-__________________________________________________________________
+@geindex Position (class in pyGHDL.dom.Misc)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position}@anchor{3e6}
+@deffn {Class} pyGHDL.dom.Misc.Position (filename, line, column)
-@node Script Configuration,Compiling on Linux,Supported Simulation and Verification Libraries,Precompile Vendor Primitives
-@anchor{getting/PrecompileVendorPrimitives script-configuration}@anchor{f4}
-@section Script Configuration
+@subsubheading Inheritance
+@image{inheritance-c6599de83442b74dc671078a314316847fae1fe3,,,[graphviz],png}
-The vendor library compile scripts need to know where the used / latest vendor
-tool chain is installed. Therefore, the scripts implement a default installation
-directory search as well as environment variable checks. If a vendor tool cannot
-be detected or the script chooses the wrong vendor library source directory,
-then it’s possible to provide the path via @cite{–source} or @cite{-Source}.
+@subsubheading Members
-The generated output is stored relative to the current working directory. The
-scripts create a sub-directory for each vendor. The default output directory can
-be overwritten by the parameter @cite{–output} or @cite{-Output}.
-To compile all source files with GHDL, the simulator executable is searched in
-@cite{PATH}. The found default GHDL executable can be overwritten by setting the
-environment variable @cite{GHDL} or by passing the parameter @cite{–ghdl} or @cite{-GHDL} to
-the scripts.
+@geindex _filename (pyGHDL.dom.Misc.Position attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position _filename}@anchor{3e8}
+@deffn {Attribute} _filename: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _line (pyGHDL.dom.Misc.Position attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position _line}@anchor{3e9}
+@deffn {Attribute} _line: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
-If the vendor library compilation is used very often, we recommend configuring
-these parameters in @cite{config.sh} or @cite{config.psm1}, so the command line can be
-shortened to the essential parts.
+@geindex _column (pyGHDL.dom.Misc.Position attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position _column}@anchor{3ea}
+@deffn {Attribute} _column: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+@geindex Filename() (pyGHDL.dom.Misc.Position property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position Filename}@anchor{3eb}
+@deffn {Method} property Filename
+@end deffn
-__________________________________________________________________
+@geindex Line() (pyGHDL.dom.Misc.Position property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position Line}@anchor{3ec}
+@deffn {Method} property Line
+@end deffn
+@geindex Column() (pyGHDL.dom.Misc.Position property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position Column}@anchor{3ed}
+@deffn {Method} property Column
+@end deffn
+@end deffn
-@node Compiling on Linux,Compiling on Windows,Script Configuration,Precompile Vendor Primitives
-@anchor{getting/PrecompileVendorPrimitives compiling-on-linux}@anchor{f5}
-@section Compiling on Linux
+@geindex Alias (class in pyGHDL.dom.Misc)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias}@anchor{3e7}
+@deffn {Class} pyGHDL.dom.Misc.Alias (aliasName)
+@subsubheading Inheritance
-@itemize *
+@image{inheritance-9e26b197acd53708a04c9fd29c805cf0379d93e9,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Name() (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Name}@anchor{3ee}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Parent}@anchor{3ef}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _parent}@anchor{3f0}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _name}@anchor{3f1}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom NonStandard,pyGHDL dom Object,pyGHDL dom Misc,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom NonStandard doc}@anchor{3f2}@anchor{pyGHDL/pyGHDL dom NonStandard module-pyGHDL dom NonStandard}@anchor{10}@anchor{pyGHDL/pyGHDL dom NonStandard pyghdl-dom-nonstandard}@anchor{3f3}
+@subsection pyGHDL.dom.NonStandard
+
+
+@geindex module; pyGHDL.dom.NonStandard
+
+@cartouche
+@quotation Todo
+Add a module documentation.
+@end quotation
+@end cartouche
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{3f4,,Design}:
+A @code{Design} represents all loaded files (see Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Document})
+
+@item
+@ref{3f5,,Library}:
+A @code{Library} represents a VHDL library. It contains all @emph{primary} design
+
+@item
+@ref{3f6,,Document}:
+A @code{Document} represents a sourcefile. It contains primary and secondary
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Design (class in pyGHDL.dom.NonStandard)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design}@anchor{3f4}
+@deffn {Class} pyGHDL.dom.NonStandard.Design
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6d14766a5390a5dd2278490ba0fc3a2f07542824,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex AddDocument() (pyGHDL.dom.NonStandard.Design method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design AddDocument}@anchor{3f7}
+@deffn {Method} AddDocument (document, library)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Documents() (pyGHDL.dom.NonStandard.Design property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Documents}@anchor{3f8}
+@deffn {Method} property Documents
+
+Returns a list of all documents (files) loaded for this design.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Document}]
+
+@end deffn
+
+@geindex GetLibrary() (pyGHDL.dom.NonStandard.Design method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design GetLibrary}@anchor{3f9}
+@deffn {Method} GetLibrary (libraryName)
+
+
+@*Return type:
+Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Library}
+
+@end deffn
+
+@geindex Libraries() (pyGHDL.dom.NonStandard.Design property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Libraries}@anchor{3fa}
+@deffn {Method} property Libraries
+
+Returns a list of all libraries specified for this design.
+
+@*Return type:
+Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Library}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.NonStandard.Design property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Parent}@anchor{3fb}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _libraries (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _libraries}@anchor{3fc}
+@deffn {Attribute} _libraries: Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, pyVHDLModel.VHDLModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Library}]
+@end deffn
+
+@geindex _documents (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _documents}@anchor{3fd}
+@deffn {Attribute} _documents: List[pyVHDLModel.VHDLModel.Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Document}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _parent}@anchor{3fe}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex Library (class in pyGHDL.dom.NonStandard)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library}@anchor{3f5}
+@deffn {Class} pyGHDL.dom.NonStandard.Library (name)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-e102bbdd3d80670b657358a339f8152f2bb96e0b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Configurations() (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Configurations}@anchor{3ff}
+@deffn {Method} property Configurations
+
+Returns a list of all configuration declarations loaded for this design.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+
+@end deffn
+
+@geindex Contexts() (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Contexts}@anchor{400}
+@deffn {Method} property Contexts
+
+Returns a list of all context declarations loaded for this design.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex Entities() (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Entities}@anchor{401}
+@deffn {Method} property Entities
+
+Returns a list of all entity declarations loaded for this design.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Name}@anchor{402}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Packages() (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Packages}@anchor{403}
+@deffn {Method} property Packages
+
+Returns a list of all package declarations loaded for this design.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Parent}@anchor{404}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _contexts (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _contexts}@anchor{405}
+@deffn {Attribute} _contexts: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+
+@geindex _configurations (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _configurations}@anchor{406}
+@deffn {Attribute} _configurations: List[pyVHDLModel.VHDLModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+@end deffn
+
+@geindex _entities (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _entities}@anchor{407}
+@deffn {Attribute} _entities: List[pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+@end deffn
+
+@geindex _packages (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packages}@anchor{408}
+@deffn {Attribute} _packages: List[pyVHDLModel.VHDLModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _parent}@anchor{409}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _name}@anchor{40a}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex Document (class in pyGHDL.dom.NonStandard)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document}@anchor{3f6}
+@deffn {Class} pyGHDL.dom.NonStandard.Document (path=None, dontParse=False)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-2ec1d76a5b2ce27226700f9236d22365d9e91f27,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.NonStandard.Document method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document parse}@anchor{40b}
+@deffn {Method} parse ()
+
+@end deffn
+
+@geindex Architectures() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Architectures}@anchor{40c}
+@deffn {Method} property Architectures
+
+Returns a list of all architecture declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Architecture}]
+
+@end deffn
+
+@geindex Configurations() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Configurations}@anchor{40d}
+@deffn {Method} property Configurations
+
+Returns a list of all configuration declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+
+@end deffn
+
+@geindex Contexts() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Contexts}@anchor{40e}
+@deffn {Method} property Contexts
+
+Returns a list of all context declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+
+@end deffn
+
+@geindex Entities() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Entities}@anchor{40f}
+@deffn {Method} property Entities
+
+Returns a list of all entity declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+
+@end deffn
+
+@geindex PackageBodies() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document PackageBodies}@anchor{410}
+@deffn {Method} property PackageBodies
+
+Returns a list of all package body declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PackageBody}]
+
+@end deffn
+
+@geindex Packages() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Packages}@anchor{411}
+@deffn {Method} property Packages
+
+Returns a list of all package declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Parent}@anchor{412}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Path() (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Path}@anchor{413}
+@deffn {Method} property Path
+
+@*Return type:
+Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+
+@end deffn
+
+@geindex _path (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _path}@anchor{414}
+@deffn {Attribute} _path: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@end deffn
+
+@geindex _contexts (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _contexts}@anchor{415}
+@deffn {Attribute} _contexts: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@end deffn
+
+@geindex _configurations (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _configurations}@anchor{416}
+@deffn {Attribute} _configurations: List[pyVHDLModel.VHDLModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+@end deffn
+
+@geindex _entities (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _entities}@anchor{417}
+@deffn {Attribute} _entities: List[pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+@end deffn
+
+@geindex _architectures (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _architectures}@anchor{418}
+@deffn {Attribute} _architectures: List[pyVHDLModel.VHDLModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Architecture}]
+@end deffn
+
+@geindex _packages (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packages}@anchor{419}
+@deffn {Attribute} _packages: List[pyVHDLModel.VHDLModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+@end deffn
+
+@geindex _packageBodies (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packageBodies}@anchor{41a}
+@deffn {Attribute} _packageBodies: List[pyVHDLModel.VHDLModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PackageBody}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _parent}@anchor{41b}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Object,pyGHDL dom Range,pyGHDL dom NonStandard,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Object doc}@anchor{41c}@anchor{pyGHDL/pyGHDL dom Object module-pyGHDL dom Object}@anchor{11}@anchor{pyGHDL/pyGHDL dom Object pyghdl-dom-object}@anchor{41d}
+@subsection pyGHDL.dom.Object
+
+
+@geindex module; pyGHDL.dom.Object
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{41e,,Constant}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{41f,,DeferredConstant}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{420,,Variable}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{421,,SharedVariable}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{422,,Signal}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Constant (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant}@anchor{41e}
+@deffn {Class} pyGHDL.dom.Object.Constant (name, subType, defaultExpression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-295d204e3bdf08014ff0e7bd92c20da51133006d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _name (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _name}@anchor{423}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _subType}@anchor{424}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _defaultExpression}@anchor{425}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Object.Constant class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant parse}@anchor{426}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant DefaultExpression}@anchor{427}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Name}@anchor{428}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Parent}@anchor{429}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant SubType}@anchor{42a}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _parent}@anchor{42b}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex DeferredConstant (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant}@anchor{41f}
+@deffn {Class} pyGHDL.dom.Object.DeferredConstant (name, subType)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-be38f264bf4d50c5312d60ebe8d4fd04e53b2c36,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _name (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _name}@anchor{42c}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _subType}@anchor{42d}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Object.DeferredConstant class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant parse}@anchor{42e}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex ConstantReference() (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant ConstantReference}@anchor{42f}
+@deffn {Method} property ConstantReference
+
+@*Return type:
+Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Name}@anchor{430}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Parent}@anchor{431}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant SubType}@anchor{432}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _constantReference (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _constantReference}@anchor{433}
+@deffn {Attribute} _constantReference: pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _parent}@anchor{434}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex Variable (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable}@anchor{420}
+@deffn {Class} pyGHDL.dom.Object.Variable (name, subType, defaultExpression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-c3bce7b7e5288c55a8a7cbfc81efab92c02c83b5,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _name (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _name}@anchor{435}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _subType}@anchor{436}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _defaultExpression}@anchor{437}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Object.Variable class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable parse}@anchor{438}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable DefaultExpression}@anchor{439}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Name}@anchor{43a}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Parent}@anchor{43b}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable SubType}@anchor{43c}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _parent}@anchor{43d}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex SharedVariable (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable}@anchor{421}
+@deffn {Class} pyGHDL.dom.Object.SharedVariable (name, subType)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-9aae706f9839ed0d8f07abe1bb194ddb40f9f69b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _name (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _name}@anchor{43e}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _subType}@anchor{43f}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Object.SharedVariable class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable parse}@anchor{440}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Name}@anchor{441}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Parent}@anchor{442}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable SubType}@anchor{443}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _parent}@anchor{444}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex Signal (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal}@anchor{422}
+@deffn {Class} pyGHDL.dom.Object.Signal (name, subType, defaultExpression)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-2842555048b722682b27ba01e424a21e83045909,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _name (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _name}@anchor{445}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _subType}@anchor{446}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _defaultExpression}@anchor{447}
+@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Object.Signal class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal parse}@anchor{448}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex DefaultExpression() (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal DefaultExpression}@anchor{449}
+@deffn {Method} property DefaultExpression
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Name}@anchor{44a}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Parent}@anchor{44b}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal SubType}@anchor{44c}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _parent}@anchor{44d}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Range,pyGHDL dom Subprogram,pyGHDL dom Object,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Range doc}@anchor{44e}@anchor{pyGHDL/pyGHDL dom Range module-pyGHDL dom Range}@anchor{12}@anchor{pyGHDL/pyGHDL dom Range pyghdl-dom-range}@anchor{44f}
+@subsection pyGHDL.dom.Range
+
+
+@geindex module; pyGHDL.dom.Range
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{450,,Range}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{451,,RangeExpression}:
+A @code{BinaryExpression} is a base-class for all binary expressions.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Range (class in pyGHDL.dom.Range)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range}@anchor{450}
+@deffn {Class} pyGHDL.dom.Range.Range (left, right, direction)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-49e2bf14a1be34cb433f7732b1cc30c74677c86d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftBound (pyGHDL.dom.Range.Range attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _leftBound}@anchor{452}
+@deffn {Attribute} _leftBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightBound (pyGHDL.dom.Range.Range attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _rightBound}@anchor{453}
+@deffn {Attribute} _rightBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _direction (pyGHDL.dom.Range.Range attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _direction}@anchor{454}
+@deffn {Attribute} _direction: pyVHDLModel.VHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Direction}
+@end deffn
+
+@geindex Direction() (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Direction}@anchor{455}
+@deffn {Method} property Direction
+
+@*Return type:
+Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Direction}
+
+@end deffn
+
+@geindex LeftBound() (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range LeftBound}@anchor{456}
+@deffn {Method} property LeftBound
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Parent}@anchor{457}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightBound() (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range RightBound}@anchor{458}
+@deffn {Method} property RightBound
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Range.Range attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _parent}@anchor{459}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex RangeExpression (class in pyGHDL.dom.Range)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression}@anchor{451}
+@deffn {Class} pyGHDL.dom.Range.RangeExpression (range)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-e921370acf1798836c4adeac08f3bdc257991736,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex LeftOperand() (pyGHDL.dom.Range.RangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression LeftOperand}@anchor{45a}
+@deffn {Method} property LeftOperand
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Range.RangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression Parent}@anchor{45b}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightOperand() (pyGHDL.dom.Range.RangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression RightOperand}@anchor{45c}
+@deffn {Method} property RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Range.RangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _FORMAT}@anchor{45d}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Range.RangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _leftOperand}@anchor{45e}
+@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Range.RangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _rightOperand}@anchor{45f}
+@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Range.RangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _parent}@anchor{460}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Subprogram,pyGHDL dom Symbol,pyGHDL dom Range,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Subprogram doc}@anchor{461}@anchor{pyGHDL/pyGHDL dom Subprogram module-pyGHDL dom Subprogram}@anchor{13}@anchor{pyGHDL/pyGHDL dom Subprogram pyghdl-dom-subprogram}@anchor{462}
+@subsection pyGHDL.dom.Subprogram
+
+
+@geindex module; pyGHDL.dom.Subprogram
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{463,,Function}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{464,,Procedure}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Function (class in pyGHDL.dom.Subprogram)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function}@anchor{463}
+@deffn {Class} pyGHDL.dom.Subprogram.Function (functionName, returnType, genericItems=None, parameterItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-8050b9c237e416888513888a4a099514f8699513,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _genericItems (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _genericItems}@anchor{465}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@end deffn
+
+@geindex _parameterItems (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parameterItems}@anchor{466}
+@deffn {Attribute} _parameterItems: List[pyVHDLModel.VHDLModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+@end deffn
+
+@geindex _returnType (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _returnType}@anchor{467}
+@deffn {Attribute} _returnType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Subprogram.Function class method)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function parse}@anchor{468}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex BodyItems() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function BodyItems}@anchor{469}
+@deffn {Method} property BodyItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+
+@end deffn
+
+@geindex DeclaredItems() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function DeclaredItems}@anchor{46a}
+@deffn {Method} property DeclaredItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex GenericItems() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function GenericItems}@anchor{46b}
+@deffn {Method} property GenericItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex IsPure() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function IsPure}@anchor{46c}
+@deffn {Method} property IsPure
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Name}@anchor{46d}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex ParameterItems() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ParameterItems}@anchor{46e}
+@deffn {Method} property ParameterItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Parent}@anchor{46f}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex ReturnType() (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ReturnType}@anchor{470}
+@deffn {Method} property ReturnType
+
+@*Return type:
+SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _declaredItems}@anchor{471}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _bodyItems (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _bodyItems}@anchor{472}
+@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+@end deffn
+
+@geindex _isPure (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _isPure}@anchor{473}
+@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parent}@anchor{474}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _name}@anchor{475}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex Procedure (class in pyGHDL.dom.Subprogram)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure}@anchor{464}
+@deffn {Class} pyGHDL.dom.Subprogram.Procedure (procedureName, genericItems=None, parameterItems=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6fc0b0b565c8c047d4afb7c4868ed4c1b22ff810,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _genericItems (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _genericItems}@anchor{476}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@end deffn
+
+@geindex _parameterItems (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parameterItems}@anchor{477}
+@deffn {Attribute} _parameterItems: List[pyVHDLModel.VHDLModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Subprogram.Procedure class method)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure parse}@anchor{478}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex BodyItems() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure BodyItems}@anchor{479}
+@deffn {Method} property BodyItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+
+@end deffn
+
+@geindex DeclaredItems() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure DeclaredItems}@anchor{47a}
+@deffn {Method} property DeclaredItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex GenericItems() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure GenericItems}@anchor{47b}
+@deffn {Method} property GenericItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex IsPure() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure IsPure}@anchor{47c}
+@deffn {Method} property IsPure
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Name}@anchor{47d}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex ParameterItems() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure ParameterItems}@anchor{47e}
+@deffn {Method} property ParameterItems
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Parent}@anchor{47f}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _isPure (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _isPure}@anchor{480}
+@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool} = False
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _declaredItems}@anchor{481}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _bodyItems (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _bodyItems}@anchor{482}
+@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parent}@anchor{483}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _name}@anchor{484}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Symbol,pyGHDL dom Type,pyGHDL dom Subprogram,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Symbol doc}@anchor{485}@anchor{pyGHDL/pyGHDL dom Symbol module-pyGHDL dom Symbol}@anchor{14}@anchor{pyGHDL/pyGHDL dom Symbol pyghdl-dom-symbol}@anchor{486}
+@subsection pyGHDL.dom.Symbol
+
+
+@geindex module; pyGHDL.dom.Symbol
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{487,,EntitySymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{488,,EnumerationLiteralSymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{489,,SimpleSubTypeSymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{48a,,ConstrainedScalarSubTypeSymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{48b,,ConstrainedCompositeSubTypeSymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{48c,,SimpleObjectOrFunctionCallSymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{48d,,IndexedObjectOrFunctionCallSymbol}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@end itemize
+
+@c #-----------------------------------
+
+@geindex EntitySymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol}@anchor{487}
+@deffn {Class} pyGHDL.dom.Symbol.EntitySymbol (entityName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-7c1581b257eb195ddc1d476a4bff5bdcfae58f7d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Package() (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Package}@anchor{48e}
+@deffn {Method} property Package
+
+@*Return type:
+Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Parent}@anchor{48f}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol SymbolName}@anchor{490}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _entity (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _entity}@anchor{491}
+@deffn {Attribute} _entity: pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _symbolName}@anchor{492}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _parent}@anchor{493}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex EnumerationLiteralSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol}@anchor{488}
+@deffn {Class} pyGHDL.dom.Symbol.EnumerationLiteralSymbol (literalName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-6c9ff0a70e7624738a8d4eb151e95d26c6530d0d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Parent() (pyGHDL.dom.Symbol.EnumerationLiteralSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol Parent}@anchor{494}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.EnumerationLiteralSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol SymbolName}@anchor{495}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.EnumerationLiteralSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol _symbolName}@anchor{496}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.EnumerationLiteralSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol _parent}@anchor{497}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex SimpleSubTypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol}@anchor{489}
+@deffn {Class} pyGHDL.dom.Symbol.SimpleSubTypeSymbol (subTypeName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-331bb81a589edb21a0a9d36372ed7a34ca40484a,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Parent() (pyGHDL.dom.Symbol.SimpleSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol Parent}@anchor{498}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Symbol.SimpleSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol SubType}@anchor{499}
+@deffn {Method} property SubType
+
+@*Return type:
+SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.SimpleSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol SymbolName}@anchor{49a}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Symbol.SimpleSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol _subType}@anchor{49b}
+@deffn {Attribute} _subType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.SimpleSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol _symbolName}@anchor{49c}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.SimpleSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol _parent}@anchor{49d}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ConstrainedScalarSubTypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol}@anchor{48a}
+@deffn {Class} pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol (subTypeName, range=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-468462a23832544997e00255afc3afc0917ce9e7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol class method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol parse}@anchor{49e}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol Parent}@anchor{49f}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Range() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol Range}@anchor{4a0}
+@deffn {Method} property Range
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol SubType}@anchor{4a1}
+@deffn {Method} property SubType
+
+@*Return type:
+SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol SymbolName}@anchor{4a2}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _range (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _range}@anchor{4a3}
+@deffn {Attribute} _range: pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _subType}@anchor{4a4}
+@deffn {Attribute} _subType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _symbolName}@anchor{4a5}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _parent}@anchor{4a6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex ConstrainedCompositeSubTypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol}@anchor{48b}
+@deffn {Class} pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol (subTypeName, constraints=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-9018c253e49ed34545ebb88319b61ae41319b3b5,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol class method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol parse}@anchor{4a7}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Constraints() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol Constraints}@anchor{4a8}
+@deffn {Method} property Constraints
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeSubtype}]]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol Parent}@anchor{4a9}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol SubType}@anchor{4aa}
+@deffn {Method} property SubType
+
+@*Return type:
+SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol SymbolName}@anchor{4ab}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _constraints}@anchor{4ac}
+@deffn {Attribute} _constraints: List[Union[pyVHDLModel.VHDLModel.RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeExpression}, pyVHDLModel.VHDLModel.RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeAttribute}, pyVHDLModel.VHDLModel.RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeSubtype}]]
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _subType}@anchor{4ad}
+@deffn {Attribute} _subType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _symbolName}@anchor{4ae}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _parent}@anchor{4af}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex SimpleObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol}@anchor{48c}
+@deffn {Class} pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol (objectName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-e46f8a506234a4312c31bb105b09c07aef799fea,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol class method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol parse}@anchor{4b0}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Object() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Object}@anchor{4b1}
+@deffn {Method} property Object
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Parent}@anchor{4b2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol SymbolName}@anchor{4b3}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _object (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _object}@anchor{4b4}
+@deffn {Attribute} _object: Union[pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _symbolName}@anchor{4b5}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _parent}@anchor{4b6}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex IndexedObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol}@anchor{48d}
+@deffn {Class} pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol (name, associations)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-54687a07890b7922b08da18e1579262dcd9dd87b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol class method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol parse}@anchor{4b7}
+@deffn {Method} classmethod parse (node)
+
+@end deffn
+
+@geindex Object() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Object}@anchor{4b8}
+@deffn {Method} property Object
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Parent}@anchor{4b9}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SymbolName() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol SymbolName}@anchor{4ba}
+@deffn {Method} property SymbolName
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+
+@end deffn
+
+@geindex _object (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _object}@anchor{4bb}
+@deffn {Attribute} _object: Union[pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+@end deffn
+
+@geindex _symbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _symbolName}@anchor{4bc}
+@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _parent}@anchor{4bd}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Type,pyGHDL dom _Translate,pyGHDL dom Symbol,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Type doc}@anchor{4be}@anchor{pyGHDL/pyGHDL dom Type module-pyGHDL dom Type}@anchor{15}@anchor{pyGHDL/pyGHDL dom Type pyghdl-dom-type}@anchor{4bf}
+@subsection pyGHDL.dom.Type
+
+
+@geindex module; pyGHDL.dom.Type
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{4c0,,IntegerType}:
+A @code{RangedScalarType} is a base-class for all scalar types with a range.
+
+@item
+@ref{4c1,,EnumeratedType}:
+A @code{ScalarType} is a base-class for all scalar types.
+
+@item
+@ref{4c2,,ArrayType}:
+A @code{CompositeType} is a base-class for all composite types.
+
+@item
+@ref{4c3,,RecordTypeElement}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{4c4,,RecordType}:
+A @code{CompositeType} is a base-class for all composite types.
+
+@item
+@ref{4c5,,AccessType}:
+@code{BaseType} is the base class of all type entities in this model.
+
+@item
+@ref{4c6,,SubType}:
+@code{BaseType} is the base class of all type entities in this model.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex IntegerType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType}@anchor{4c0}
+@deffn {Class} pyGHDL.dom.Type.IntegerType (typeName, range)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-c6d2823636b80691a9cb1beecbc8abf268482e57,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _leftBound (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _leftBound}@anchor{4c7}
+@deffn {Attribute} _leftBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex _rightBound (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _rightBound}@anchor{4c8}
+@deffn {Attribute} _rightBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@end deffn
+
+@geindex LeftBound() (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType LeftBound}@anchor{4c9}
+@deffn {Method} property LeftBound
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Name}@anchor{4ca}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Parent}@anchor{4cb}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightBound() (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType RightBound}@anchor{4cc}
+@deffn {Method} property RightBound
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _parent}@anchor{4cd}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _name}@anchor{4ce}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex EnumeratedType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType}@anchor{4c1}
+@deffn {Class} pyGHDL.dom.Type.EnumeratedType (name, literals)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-b0b92e99a6ded95176d2d0d269bc6178a4225f34,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.EnumeratedType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType parse}@anchor{4cf}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+
+@*Return type:
+@ref{4c1,,EnumeratedType}
+
+@end deffn
+
+@geindex Literals() (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Literals}@anchor{4d0}
+@deffn {Method} property Literals
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteral}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Name}@anchor{4d1}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Parent}@anchor{4d2}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _literals (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _literals}@anchor{4d3}
+@deffn {Attribute} _literals: List[pyVHDLModel.VHDLModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteral}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _parent}@anchor{4d4}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _name}@anchor{4d5}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex ArrayType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType}@anchor{4c2}
+@deffn {Class} pyGHDL.dom.Type.ArrayType (name, indices, elementSubType)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-4bd16b4e6a85200b87b8abb3f6d02af5ba4cf9d4,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.ArrayType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType parse}@anchor{4d6}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+
+@*Return type:
+@ref{4c2,,ArrayType}
+
+@end deffn
+
+@geindex Dimensions() (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Dimensions}@anchor{4d7}
+@deffn {Method} property Dimensions
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}]
+
+@end deffn
+
+@geindex ElementType() (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType ElementType}@anchor{4d8}
+@deffn {Method} property ElementType
+
+@*Return type:
+SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Name}@anchor{4d9}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Parent}@anchor{4da}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _dimensions (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _dimensions}@anchor{4db}
+@deffn {Attribute} _dimensions: List[pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}]
+@end deffn
+
+@geindex _elementType (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _elementType}@anchor{4dc}
+@deffn {Attribute} _elementType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _parent}@anchor{4dd}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _name}@anchor{4de}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex RecordTypeElement (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement}@anchor{4c3}
+@deffn {Class} pyGHDL.dom.Type.RecordTypeElement (name, subType)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-fd5be61192ef25960b3e3acbab729b26b33a08f7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.RecordTypeElement class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement parse}@anchor{4df}
+@deffn {Method} classmethod parse (elementDeclarationNode)
+
+
+@*Return type:
+@ref{4c3,,RecordTypeElement}
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Name}@anchor{4e0}
+@deffn {Method} property Name
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Parent}@anchor{4e1}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex SubType() (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement SubType}@anchor{4e2}
+@deffn {Method} property SubType
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _name}@anchor{4e3}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _subType (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _subType}@anchor{4e4}
+@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _parent}@anchor{4e5}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+@end deffn
+
+@geindex RecordType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType}@anchor{4c4}
+@deffn {Class} pyGHDL.dom.Type.RecordType (name, elements=None)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-9f27347b11f137a93a2ed60efcc02574e8f2810b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.RecordType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType parse}@anchor{4e6}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+
+@*Return type:
+@ref{4c4,,RecordType}
+
+@end deffn
+
+@geindex Elements() (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Elements}@anchor{4e7}
+@deffn {Method} property Elements
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RecordTypeElement}]
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Name}@anchor{4e8}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Parent}@anchor{4e9}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _elements (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _elements}@anchor{4ea}
+@deffn {Attribute} _elements: List[pyVHDLModel.VHDLModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RecordTypeElement}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _parent}@anchor{4eb}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _name}@anchor{4ec}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex AccessType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType}@anchor{4c5}
+@deffn {Class} pyGHDL.dom.Type.AccessType (name, designatedSubType)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-7ea6aa8db1543c63bde53a88e7a808648ab57465,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.AccessType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType parse}@anchor{4ed}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+
+@*Return type:
+@ref{4c5,,AccessType}
+
+@end deffn
+
+@geindex DesignatedSubtype() (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType DesignatedSubtype}@anchor{4ee}
+@deffn {Method} property DesignatedSubtype
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Name}@anchor{4ef}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Parent}@anchor{4f0}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex _designatedSubType (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _designatedSubType}@anchor{4f1}
+@deffn {Attribute} _designatedSubType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _parent}@anchor{4f2}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _name}@anchor{4f3}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@geindex SubType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType}@anchor{4c6}
+@deffn {Class} pyGHDL.dom.Type.SubType (subtypeName)
+
+
+@subsubheading Inheritance
+
+@image{inheritance-55bc46baad1f58bb4243906950d3d2e2e99aefa0,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex BaseType() (pyGHDL.dom.Type.SubType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType BaseType}@anchor{4f4}
+@deffn {Method} property BaseType
+
+@*Return type:
+<property object at 0x000002b5562de3b0>
+
+@end deffn
+
+@geindex Name() (pyGHDL.dom.Type.SubType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Name}@anchor{4f5}
+@deffn {Method} property Name
+
+Returns a model entity’s name.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent() (pyGHDL.dom.Type.SubType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Parent}@anchor{4f6}
+@deffn {Method} property Parent
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Range() (pyGHDL.dom.Type.SubType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Range}@anchor{4f7}
+@deffn {Method} property Range
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+
+@end deffn
+
+@geindex ResolutionFunction() (pyGHDL.dom.Type.SubType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType ResolutionFunction}@anchor{4f8}
+@deffn {Method} property ResolutionFunction
+
+@*Return type:
+Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}
+
+@end deffn
+
+@geindex Type() (pyGHDL.dom.Type.SubType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Type}@anchor{4f9}
+@deffn {Method} property Type
+
+@*Return type:
+SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+
+@end deffn
+
+@geindex _type (pyGHDL.dom.Type.SubType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _type}@anchor{4fa}
+@deffn {Attribute} _type: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@end deffn
+
+@geindex _baseType (pyGHDL.dom.Type.SubType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _baseType}@anchor{4fb}
+@deffn {Attribute} _baseType: pyVHDLModel.VHDLModel.Type@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Type}
+@end deffn
+
+@geindex _range (pyGHDL.dom.Type.SubType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _range}@anchor{4fc}
+@deffn {Attribute} _range: pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@end deffn
+
+@geindex _resolutionFunction (pyGHDL.dom.Type.SubType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _resolutionFunction}@anchor{4fd}
+@deffn {Attribute} _resolutionFunction: pyVHDLModel.VHDLModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.SubType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _parent}@anchor{4fe}
+@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@end deffn
+
+@geindex _name (pyGHDL.dom.Type.SubType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _name}@anchor{4ff}
+@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom _Translate,pyGHDL dom _Utils,pyGHDL dom Type,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom _Translate doc}@anchor{500}@anchor{pyGHDL/pyGHDL dom _Translate module-pyGHDL dom _Translate}@anchor{5}@anchor{pyGHDL/pyGHDL dom _Translate pyghdl-dom-translate}@anchor{501}
+@subsection pyGHDL.dom._Translate
+
+
+@geindex module; pyGHDL.dom._Translate
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{502,,GetArrayConstraintsFromSubtypeIndication()}:
+Undocumented.
+
+@item
+@ref{503,,GetTypeFromNode()}:
+Undocumented.
+
+@item
+@ref{504,,GetSubTypeIndicationFromNode()}:
+Undocumented.
+
+@item
+@ref{505,,GetSubTypeIndicationFromIndicationNode()}:
+Undocumented.
+
+@item
+@ref{506,,GetSimpleTypeFromNode()}:
+Undocumented.
+
+@item
+@ref{507,,GetScalarConstrainedSubTypeFromNode()}:
+Undocumented.
+
+@item
+@ref{508,,GetCompositeConstrainedSubTypeFromNode()}:
+Undocumented.
+
+@item
+@ref{509,,GetSubTypeFromNode()}:
+Undocumented.
+
+@item
+@ref{50a,,GetRangeFromNode()}:
+Undocumented.
+
+@item
+@ref{50b,,GetExpressionFromNode()}:
+Undocumented.
+
+@item
+@ref{50c,,GetGenericsFromChainedNodes()}:
+Undocumented.
+
+@item
+@ref{50d,,GetPortsFromChainedNodes()}:
+Undocumented.
+
+@item
+@ref{50e,,GetParameterFromChainedNodes()}:
+Undocumented.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex GetArrayConstraintsFromSubtypeIndication() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetArrayConstraintsFromSubtypeIndication}@anchor{502}
+@deffn {Function} pyGHDL.dom._Translate.GetArrayConstraintsFromSubtypeIndication (subTypeIndication)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{451,,RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeSubtype}]]
+
+@end deffn
+
+@geindex GetTypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetTypeFromNode}@anchor{503}
+@deffn {Function} pyGHDL.dom._Translate.GetTypeFromNode (node)
+
+
+@*Return type:
+BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseType}
+
+@end deffn
+
+@geindex GetSubTypeIndicationFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubTypeIndicationFromNode}@anchor{504}
+@deffn {Function} pyGHDL.dom._Translate.GetSubTypeIndicationFromNode (node, entity, name)
+
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{4c6,,SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex GetSubTypeIndicationFromIndicationNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubTypeIndicationFromIndicationNode}@anchor{505}
+@deffn {Function} pyGHDL.dom._Translate.GetSubTypeIndicationFromIndicationNode (subTypeIndicationNode, entity, name)
+
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{4c6,,SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex GetSimpleTypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSimpleTypeFromNode}@anchor{506}
+@deffn {Function} pyGHDL.dom._Translate.GetSimpleTypeFromNode (subTypeIndicationNode)
+
+
+@*Return type:
+@ref{489,,SimpleSubTypeSymbol}
+
+@end deffn
+
+@geindex GetScalarConstrainedSubTypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetScalarConstrainedSubTypeFromNode}@anchor{507}
+@deffn {Function} pyGHDL.dom._Translate.GetScalarConstrainedSubTypeFromNode (subTypeIndicationNode)
+
+
+@*Return type:
+@ref{48a,,ConstrainedScalarSubTypeSymbol}
+
+@end deffn
+
+@geindex GetCompositeConstrainedSubTypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetCompositeConstrainedSubTypeFromNode}@anchor{508}
+@deffn {Function} pyGHDL.dom._Translate.GetCompositeConstrainedSubTypeFromNode (subTypeIndicationNode)
+
+
+@*Return type:
+@ref{48b,,ConstrainedCompositeSubTypeSymbol}
+
+@end deffn
+
+@geindex GetSubTypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubTypeFromNode}@anchor{509}
+@deffn {Function} pyGHDL.dom._Translate.GetSubTypeFromNode (node)
+
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{4c6,,SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+
+@end deffn
+
+@geindex GetRangeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetRangeFromNode}@anchor{50a}
+@deffn {Function} pyGHDL.dom._Translate.GetRangeFromNode (node)
+
+
+@*Return type:
+@ref{450,,Range}
+
+@end deffn
+
+@geindex GetExpressionFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetExpressionFromNode}@anchor{50b}
+@deffn {Function} pyGHDL.dom._Translate.GetExpressionFromNode (node)
+
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex GetGenericsFromChainedNodes() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetGenericsFromChainedNodes}@anchor{50c}
+@deffn {Function} pyGHDL.dom._Translate.GetGenericsFromChainedNodes (nodeChain)
+
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex GetPortsFromChainedNodes() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetPortsFromChainedNodes}@anchor{50d}
+@deffn {Function} pyGHDL.dom._Translate.GetPortsFromChainedNodes (nodeChain)
+
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex GetParameterFromChainedNodes() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetParameterFromChainedNodes}@anchor{50e}
+@deffn {Function} pyGHDL.dom._Translate.GetParameterFromChainedNodes (nodeChain)
+
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom _Utils,pyGHDL dom formatting,pyGHDL dom _Translate,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom _Utils doc}@anchor{50f}@anchor{pyGHDL/pyGHDL dom _Utils module-pyGHDL dom _Utils}@anchor{6}@anchor{pyGHDL/pyGHDL dom _Utils pyghdl-dom-utils}@anchor{510}
+@subsection pyGHDL.dom._Utils
+
+
+@geindex module; pyGHDL.dom._Utils
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{511,,CheckForErrors()}:
+Undocumented.
+
+@item
+@ref{512,,GetIirKindOfNode()}:
+Return the kind of a node in the IIR tree.
+
+@item
+@ref{513,,GetNameOfNode()}:
+Return the python string from node @code{node} identifier.
+
+@item
+@ref{514,,GetSelectedName()}:
+Undocumented.
+
+@item
+@ref{515,,GetModeOfNode()}:
+Return the mode of a @code{node}.
+
+@item
+@ref{516,,GetPositionOfNode()}:
+Return the source code position of a IIR node.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex CheckForErrors() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils CheckForErrors}@anchor{511}
+@deffn {Function} pyGHDL.dom._Utils.CheckForErrors ()
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex GetIirKindOfNode() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetIirKindOfNode}@anchor{512}
+@deffn {Function} pyGHDL.dom._Utils.GetIirKindOfNode (node)
+
+
+Return the kind of a node in the IIR tree.
+
+@*Return type:
+@ref{517,,Iir_Kind}
+
+@end deffn
+
+@geindex GetNameOfNode() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetNameOfNode}@anchor{513}
+@deffn {Function} pyGHDL.dom._Utils.GetNameOfNode (node)
+
+
+Return the python string from node @code{node} identifier.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex GetSelectedName() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetSelectedName}@anchor{514}
+@deffn {Function} pyGHDL.dom._Utils.GetSelectedName (node)
+
+@end deffn
+
+@geindex GetModeOfNode() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetModeOfNode}@anchor{515}
+@deffn {Function} pyGHDL.dom._Utils.GetModeOfNode (node)
+
+
+Return the mode of a @code{node}.
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+
+@end deffn
+
+@geindex GetPositionOfNode() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetPositionOfNode}@anchor{516}
+@deffn {Function} pyGHDL.dom._Utils.GetPositionOfNode (node)
+
+
+Return the source code position of a IIR node.
+
+@*Return type:
+@ref{3e6,,Position}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom formatting,,pyGHDL dom _Utils,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom formatting doc}@anchor{518}@anchor{pyGHDL/pyGHDL dom formatting module-pyGHDL dom formatting}@anchor{b}@anchor{pyGHDL/pyGHDL dom formatting pyghdl-dom-formatting}@anchor{519}
+@subsection pyGHDL.dom.formatting
+
+
+@geindex module; pyGHDL.dom.formatting
+
+@c #-----------------------------------
+
+@strong{Submodules}
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@menu
+* pyGHDL.dom.formatting.prettyprint: pyGHDL dom formatting prettyprint.
+
+@end menu
+
+@node pyGHDL dom formatting prettyprint,,,pyGHDL dom formatting
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint doc}@anchor{51a}@anchor{pyGHDL/pyGHDL dom formatting prettyprint module-pyGHDL dom formatting prettyprint}@anchor{c}@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyghdl-dom-formatting-prettyprint}@anchor{51b}
+@subsubsection pyGHDL.dom.formatting.prettyprint
+
+
+@geindex module; pyGHDL.dom.formatting.prettyprint
+
+@c #-----------------------------------
+
+@strong{Exceptions}
+
+
+@itemize -
+
+@item
+@ref{51c,,PrettyPrintException}:
+Common base class for all non-exit exceptions.
+@end itemize
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{51d,,PrettyPrint}:
+Undocumented.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex PrettyPrintException
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException}@anchor{51c}
+@deffn {Exception} pyGHDL.dom.formatting.prettyprint.PrettyPrintException
+
+
+@subsubheading Inheritance
+
+@image{inheritance-9a5cd1c232edda8ea3e4e442688f2af6c346be8c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex args (pyGHDL.dom.formatting.prettyprint.PrettyPrintException attribute)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException args}@anchor{51e}
+@deffn {Attribute} args
+@end deffn
+
+@geindex with_traceback() (pyGHDL.dom.formatting.prettyprint.PrettyPrintException method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException with_traceback}@anchor{51f}
+@deffn {Method} with_traceback ()
+
+Exception.with_traceback(tb) –
+set self.__traceback__ to tb and return self.
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@geindex PrettyPrint (class in pyGHDL.dom.formatting.prettyprint)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint}@anchor{51d}
+@deffn {Class} pyGHDL.dom.formatting.prettyprint.PrettyPrint
+
+
+@subsubheading Inheritance
+
+@image{inheritance-93e3ff65b7a5afee480d69c25c7c7561bd482534,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex formatDesign() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDesign}@anchor{520}
+@deffn {Method} formatDesign (design, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatLibrary() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatLibrary}@anchor{521}
+@deffn {Method} formatLibrary (library, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatDocument() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDocument}@anchor{522}
+@deffn {Method} formatDocument (document, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatEntity() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatEntity}@anchor{523}
+@deffn {Method} formatEntity (entity, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatArchitecture() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatArchitecture}@anchor{524}
+@deffn {Method} formatArchitecture (architecture, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatComponent() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatComponent}@anchor{525}
+@deffn {Method} formatComponent (component, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatPackage() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackage}@anchor{526}
+@deffn {Method} formatPackage (package, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatPackageBody() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageBody}@anchor{527}
+@deffn {Method} formatPackageBody (packageBody, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatConfiguration() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatConfiguration}@anchor{528}
+@deffn {Method} formatConfiguration (configuration, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatContext() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatContext}@anchor{529}
+@deffn {Method} formatContext (context, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatGeneric() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGeneric}@anchor{52a}
+@deffn {Method} formatGeneric (generic, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatPort() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPort}@anchor{52b}
+@deffn {Method} formatPort (port, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatGenericConstant() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericConstant}@anchor{52c}
+@deffn {Method} formatGenericConstant (generic, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatPortSignal() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPortSignal}@anchor{52d}
+@deffn {Method} formatPortSignal (port, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatDeclaredItems() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDeclaredItems}@anchor{52e}
+@deffn {Method} formatDeclaredItems (item, level=0)
+
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatType}@anchor{52f}
+@deffn {Method} formatType (item)
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex formatSubtypeIndication() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatSubtypeIndication}@anchor{530}
+@deffn {Method} formatSubtypeIndication (subTypeIndication, entity, name)
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex formatInitialValue() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatInitialValue}@anchor{531}
+@deffn {Method} formatInitialValue (item)
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl,pyGHDL lsp,pyGHDL dom,pyGHDL
+@anchor{pyGHDL/pyGHDL libghdl doc}@anchor{532}@anchor{pyGHDL/pyGHDL libghdl module-pyGHDL libghdl}@anchor{16}@anchor{pyGHDL/pyGHDL libghdl pyghdl-libghdl}@anchor{533}
+@section pyGHDL.libghdl
+
+
+@geindex module; pyGHDL.libghdl
+
+@c #-----------------------------------
+
+@strong{Submodules}
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@menu
+* pyGHDL.libghdl._decorator: pyGHDL libghdl _decorator.
+* pyGHDL.libghdl._types: pyGHDL libghdl _types.
+* pyGHDL.libghdl.errorout: pyGHDL libghdl errorout.
+* pyGHDL.libghdl.errorout_console: pyGHDL libghdl errorout_console.
+* pyGHDL.libghdl.errorout_memory: pyGHDL libghdl errorout_memory.
+* pyGHDL.libghdl.files_map: pyGHDL libghdl files_map.
+* pyGHDL.libghdl.files_map_editor: pyGHDL libghdl files_map_editor.
+* pyGHDL.libghdl.flags: pyGHDL libghdl flags.
+* pyGHDL.libghdl.libraries: pyGHDL libghdl libraries.
+* pyGHDL.libghdl.name_table: pyGHDL libghdl name_table.
+* pyGHDL.libghdl.std_names: pyGHDL libghdl std_names.
+* pyGHDL.libghdl.utils: pyGHDL libghdl utils.
+* pyGHDL.libghdl.version: pyGHDL libghdl version.
+* pyGHDL.libghdl.vhdl: pyGHDL libghdl vhdl.
+
+@end menu
+
+@node pyGHDL libghdl _decorator,pyGHDL libghdl _types,,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl _decorator doc}@anchor{534}@anchor{pyGHDL/pyGHDL libghdl _decorator module-pyGHDL libghdl _decorator}@anchor{17}@anchor{pyGHDL/pyGHDL libghdl _decorator pyghdl-libghdl-decorator}@anchor{535}
+@subsection pyGHDL.libghdl._decorator
+
+
+@geindex module; pyGHDL.libghdl._decorator
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{536,,EnumLookupTable()}:
+Decorator to precalculate a enum lookup table (LUT) for enum position to
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex EnumLookupTable() (in module pyGHDL.libghdl._decorator)
+@anchor{pyGHDL/pyGHDL libghdl _decorator pyGHDL libghdl _decorator EnumLookupTable}@anchor{536}
+@deffn {Function} pyGHDL.libghdl._decorator.EnumLookupTable (cls)
+
+
+Decorator to precalculate a enum lookup table (LUT) for enum position to
+enum literal name.
+
+@*Parameters:
+@code{cls} – Enumerator class for which a LUT shall be pre-calculated.
+
+
+@*Return type:
+Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callable}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl _types,pyGHDL libghdl errorout,pyGHDL libghdl _decorator,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl _types doc}@anchor{537}@anchor{pyGHDL/pyGHDL libghdl _types module-pyGHDL libghdl _types}@anchor{18}@anchor{pyGHDL/pyGHDL libghdl _types pyghdl-libghdl-types}@anchor{538}
+@subsection pyGHDL.libghdl._types
+
+
+@geindex module; pyGHDL.libghdl._types
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{539,,ErrorIndex}
+
+@item
+@ref{53a,,MessageIdWarnings}
+
+@item
+@ref{53b,,NameId}
+
+@item
+@ref{53c,,SourceFileEntry}
+
+@item
+@ref{53d,,Iir}
+
+@item
+@ref{53e,,IirKind}
+@end itemize
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{53f,,TriStateType}:
+An enumeration.
+
+@item
+@ref{540,,DirectionType}:
+An enumeration.
+@end itemize
+
+@geindex ErrorIndex (in module pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types ErrorIndex}@anchor{539}
+@deffn {Data} pyGHDL.libghdl._types.ErrorIndex
+
+Type variable.
+
+Usage:
+
+@example
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
+@end example
+
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
-@item
@table @asis
-@item @strong{Step 0 - Configure the scripts (optional)}
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
-See the next section for how to configure @cite{config.sh}.
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
@end table
+@end quotation
-@item
-@strong{Step 1 - Browse to your simulation working directory}
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
@example
-$ cd <MySimulationFolder>
-`@w{`}`
+~ErrorIndex
@end example
+@end deffn
-@item
-@strong{Step 2 - Start the compilation script(s)}
+@geindex MessageIdWarnings (in module pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types MessageIdWarnings}@anchor{53a}
+@deffn {Data} pyGHDL.libghdl._types.MessageIdWarnings
-@example
-$ /usr/local/lib/ghdl/vendors/compile-altera.sh --all
-$ /usr/local/lib/ghdl/vendors/compile-lattice.sh --all
-$ /usr/local/lib/ghdl/vendors/compile-xilinx-ise.sh --all
-$ /usr/local/lib/ghdl/vendors/compile-xilinx-vivado.sh --all
-$ /usr/local/lib/ghdl/vendors/compile-osvvm.sh --all
-$ /usr/local/lib/ghdl/vendors/compile-uvvm.sh --all
-`@w{`}`
+Type variable.
+
+Usage:
-In most cases GHDL is installed into `/usr/local/`. The scripts are
-installed into the `lib` directory.
+@example
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-@item
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
@table @asis
-@item @strong{Step 3 - Viewing the result}
+@item def repeat(x: T, n: int) -> List[T]:
-This creates vendor directories in your current working directory and
-compiles the vendor files into them.
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
@example
-$ ls -ahl
-...
-drwxr-xr-x 2 <user> <group> 56K Mar 09 17:41 altera
-drwxr-xr-x 2 <user> <group> 56K Mar 09 17:42 lattice
-drwxr-xr-x 2 <user> <group> 56K Mar 09 17:48 osvvm
-drwxr-xr-x 2 <user> <group> 56K Mar 09 17:58 uvvm
-drwxr-xr-x 2 <user> <group> 56K Mar 09 17:58 xilinx-ise
-drwxr-xr-x 2 <user> <group> 56K Mar 09 17:48 xilinx-vivado
-`@w{`}`
+~MessageIdWarnings
@end example
-@end itemize
+@end deffn
+@geindex NameId (in module pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types NameId}@anchor{53b}
+@deffn {Data} pyGHDL.libghdl._types.NameId
-__________________________________________________________________
+Type variable.
+Usage:
-@node Compiling on Windows,Configuration Files,Compiling on Linux,Precompile Vendor Primitives
-@anchor{getting/PrecompileVendorPrimitives compiling-on-windows}@anchor{f6}
-@section Compiling on Windows
-
+@example
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
+@end example
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
-@itemize *
+@quotation
-@item
@table @asis
-@item @strong{Step 0 - Configure the scripts (optional)}
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
-See the next section for how to configure @cite{config.psm1}.
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
@end table
+@end quotation
-@item
-@strong{Step 1 - Browse to your simulation working directory}
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
@example
-PS> cd <MySimulationFolder>
+~NameId
@end example
+@end deffn
-@item
-@strong{Step 2 - Start the compilation script(s)}
+@geindex SourceFileEntry (in module pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types SourceFileEntry}@anchor{53c}
+@deffn {Data} pyGHDL.libghdl._types.SourceFileEntry
+
+Type variable.
+
+Usage:
@example
-PS> <GHDL>\libraries\vendors\compile-altera.ps1 -All
-PS> <GHDL>\libraries\vendors\compile-lattice.ps1 -All
-PS> <GHDL>\libraries\vendors\compile-xilinx-ise.ps1 -All
-PS> <GHDL>\libraries\vendors\compile-xilinx-vivado.ps1 -All
-PS> <GHDL>\libraries\vendors\compile-osvvm.ps1 -All
-PS> <GHDL>\libraries\vendors\compile-uvvm.ps1 -All
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-@item
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
@table @asis
-@item @strong{Step 3 - Viewing the result}
+@item def repeat(x: T, n: int) -> List[T]:
-This creates vendor directories in your current working directory and
-compiles the vendor files into them.
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
@example
-PS> dir
- Directory: D:\temp\ghdl
+~SourceFileEntry
+@end example
+@end deffn
-Mode LastWriteTime Length Name
----- ------------- ------ ----
-d---- 09.03.2018 19:33 <DIR> altera
-d---- 09.03.2018 19:38 <DIR> lattice
-d---- 09.03.2018 19:38 <DIR> osvvm
-d---- 09.03.2018 19:45 <DIR> uvvm
-d---- 09.03.2018 19:06 <DIR> xilinx-ise
-d---- 09.03.2018 19:40 <DIR> xilinx-vivado
+@geindex Iir (in module pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types Iir}@anchor{53d}
+@deffn {Data} pyGHDL.libghdl._types.Iir
+
+Type variable.
+
+Usage:
+
+@example
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-@end itemize
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
-__________________________________________________________________
+@quotation
-@node Configuration Files,,Compiling on Windows,Precompile Vendor Primitives
-@anchor{getting/PrecompileVendorPrimitives configuration-files}@anchor{f7}
-@section Configuration Files
+@table @asis
+@item def repeat(x: T, n: int) -> List[T]:
-@menu
-* For Linux; config.sh: For Linux config sh.
-* For Windows; config.psm1: For Windows config psm1.
-* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts.
-* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts.
+‘’’Return a list containing n references to x.’’’
+return [x]*n
-@end menu
+@item def longest(x: A, y: A) -> A:
-@node For Linux config sh,For Windows config psm1,,Configuration Files
-@anchor{getting/PrecompileVendorPrimitives for-linux-config-sh}@anchor{f8}
-@subsection For Linux: @cite{config.sh}
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
-Please open the @cite{config.sh} file and set the dictionary entries for the
-installed vendor tools to your tool’s installation
-directories. Use an empty string @cite{“”} for not installed tools.
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
-@cite{config.sh}:
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
@example
-declare -A InstallationDirectory
-InstallationDirectory[AlteraQuartus]="/opt/Altera/17.1"
-InstallationDirectory[LatticeDiamond]="/opt/Diamond/3.9_x64"
-InstallationDirectory[OSVVM]="/home/<user>/git/GitHub/osvvm"
-InstallationDirectory[UVVM]="/home/<user>/git/GitHub/uvvm_all"
-InstallationDirectory[XilinxISE]="/opt/Xilinx/14.7"
-InstallationDirectory[XilinxVivado]="/opt/Xilinx/Vivado/2017.4"
+~Iir
@end example
+@end deffn
-@node For Windows config psm1,Selectable Options for the Bash Scripts,For Linux config sh,Configuration Files
-@anchor{getting/PrecompileVendorPrimitives for-windows-config-psm1}@anchor{f9}
-@subsection For Windows: @cite{config.psm1}
+@geindex IirKind (in module pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types IirKind}@anchor{53e}
+@deffn {Data} pyGHDL.libghdl._types.IirKind
+Type variable.
-Please open the @cite{config.psm1} file and set the dictionary entries for the
-installed vendor tools to your tool’s installation
-folder. Use an empty string @cite{“”} for not installed tools.
-
-@cite{config.psm1}:
+Usage:
@example
-$InstallationDirectory = @@@{
- "AlteraQuartus" = "C:\Altera\17.1";
- "LatticeDiamond" = "C:\Lattice\Diamond\3.9_x64";
- "XilinxISE" = "C:\Xilinx\14.7\ISE_DS";
- "XilinxVivado" = "C:\Xilinx\Vivado\2017.4";
- "OSVVM" = "D:\git\GitHub\osvvm";
- "UVVM" = "D:\git\GitHub\uvvm_all"
-@}
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-@node Selectable Options for the Bash Scripts,Selectable Options for the PowerShell Scripts,For Windows config psm1,Configuration Files
-@anchor{getting/PrecompileVendorPrimitives selectable-options-for-the-bash-scripts}@anchor{fa}
-@subsection Selectable Options for the Bash Scripts:
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+@quotation
-@itemize *
+@table @asis
-@item
-Common parameters to most scripts:
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
@example
---help, -h Print the embedded help page(s).
---clean, -c Cleanup directory before analyzing.
---no-warnings, -n Don't show warnings. Report errors only.
---skip-existing, -s Skip already compiled files (an *.o file exists).
---skip-largefiles, -S Don't compile large entities like DSP and PCIe primitives.
---halt-on-error, -H Stop compiling if an error occurred.
+~IirKind
@end example
+@end deffn
-@item
-@cite{compile-altera.sh}
+@c #-----------------------------------
-Selectable libraries:
+@geindex TriStateType (class in pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType}@anchor{53f}
+@deffn {Class} pyGHDL.libghdl._types.TriStateType (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-2146dfdb7eece2ba4aaccb290cc0f8e2057bb356,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Unknown (pyGHDL.libghdl._types.TriStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType Unknown}@anchor{541}
+@deffn {Attribute} Unknown = 0
+@end deffn
+
+@geindex TFalse (pyGHDL.libghdl._types.TriStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TFalse}@anchor{542}
+@deffn {Attribute} TFalse = 1
+@end deffn
+
+@geindex TTrue (pyGHDL.libghdl._types.TriStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TTrue}@anchor{543}
+@deffn {Attribute} TTrue = 2
+@end deffn
+@end deffn
+
+@geindex DirectionType (class in pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType}@anchor{540}
+@deffn {Class} pyGHDL.libghdl._types.DirectionType (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-2f092a54a31bc37880c2d50a4dcdeb26e629226e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex To (pyGHDL.libghdl._types.DirectionType attribute)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType To}@anchor{544}
+@deffn {Attribute} To = 0
+@end deffn
+
+@geindex Downto (pyGHDL.libghdl._types.DirectionType attribute)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType Downto}@anchor{545}
+@deffn {Attribute} Downto = 1
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl errorout,pyGHDL libghdl errorout_console,pyGHDL libghdl _types,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl errorout doc}@anchor{546}@anchor{pyGHDL/pyGHDL libghdl errorout module-pyGHDL libghdl errorout}@anchor{19}@anchor{pyGHDL/pyGHDL libghdl errorout pyghdl-libghdl-errorout}@anchor{547}
+@subsection pyGHDL.libghdl.errorout
+
+
+@geindex module; pyGHDL.libghdl.errorout
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{548,,Msgid}:
+An enumeration.
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{549,,Enable_Warning()}:
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Msgid (class in pyGHDL.libghdl.errorout)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid}@anchor{548}
+@deffn {Class} pyGHDL.libghdl.errorout.Msgid (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-009eddda2873b0b151195c04619c3258fb6df51b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Msgid_Note (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Note}@anchor{54a}
+@deffn {Attribute} Msgid_Note = 0
+@end deffn
+
+@geindex Warnid_Library (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Library}@anchor{54b}
+@deffn {Attribute} Warnid_Library = 1
+@end deffn
+
+@geindex Warnid_Deprecated_Option (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Deprecated_Option}@anchor{54c}
+@deffn {Attribute} Warnid_Deprecated_Option = 2
+@end deffn
+
+@geindex Warnid_Unexpected_Option (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unexpected_Option}@anchor{54d}
+@deffn {Attribute} Warnid_Unexpected_Option = 3
+@end deffn
+
+@geindex Warnid_Missing_Xref (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Missing_Xref}@anchor{54e}
+@deffn {Attribute} Warnid_Missing_Xref = 4
+@end deffn
+
+@geindex Warnid_Default_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Default_Binding}@anchor{54f}
+@deffn {Attribute} Warnid_Default_Binding = 5
+@end deffn
+
+@geindex Warnid_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Binding}@anchor{550}
+@deffn {Attribute} Warnid_Binding = 6
+@end deffn
+
+@geindex Warnid_Port (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port}@anchor{551}
+@deffn {Attribute} Warnid_Port = 7
+@end deffn
+
+@geindex Warnid_Reserved_Word (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Reserved_Word}@anchor{552}
+@deffn {Attribute} Warnid_Reserved_Word = 8
+@end deffn
+
+@geindex Warnid_Pragma (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pragma}@anchor{553}
+@deffn {Attribute} Warnid_Pragma = 9
+@end deffn
+
+@geindex Warnid_Nested_Comment (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Nested_Comment}@anchor{554}
+@deffn {Attribute} Warnid_Nested_Comment = 10
+@end deffn
+
+@geindex Warnid_Directive (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Directive}@anchor{555}
+@deffn {Attribute} Warnid_Directive = 11
+@end deffn
+
+@geindex Warnid_Parenthesis (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Parenthesis}@anchor{556}
+@deffn {Attribute} Warnid_Parenthesis = 12
+@end deffn
+
+@geindex Warnid_Vital_Generic (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Vital_Generic}@anchor{557}
+@deffn {Attribute} Warnid_Vital_Generic = 13
+@end deffn
+
+@geindex Warnid_Delayed_Checks (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delayed_Checks}@anchor{558}
+@deffn {Attribute} Warnid_Delayed_Checks = 14
+@end deffn
+
+@geindex Warnid_Body (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Body}@anchor{559}
+@deffn {Attribute} Warnid_Body = 15
+@end deffn
+
+@geindex Warnid_Specs (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Specs}@anchor{55a}
+@deffn {Attribute} Warnid_Specs = 16
+@end deffn
+
+@geindex Warnid_Universal (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Universal}@anchor{55b}
+@deffn {Attribute} Warnid_Universal = 17
+@end deffn
+
+@geindex Warnid_Port_Bounds (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port_Bounds}@anchor{55c}
+@deffn {Attribute} Warnid_Port_Bounds = 18
+@end deffn
+
+@geindex Warnid_Runtime_Error (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Runtime_Error}@anchor{55d}
+@deffn {Attribute} Warnid_Runtime_Error = 19
+@end deffn
+
+@geindex Warnid_Delta_Cycle (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delta_Cycle}@anchor{55e}
+@deffn {Attribute} Warnid_Delta_Cycle = 20
+@end deffn
+
+@geindex Warnid_No_Wait (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_No_Wait}@anchor{55f}
+@deffn {Attribute} Warnid_No_Wait = 21
+@end deffn
+
+@geindex Warnid_Shared (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Shared}@anchor{560}
+@deffn {Attribute} Warnid_Shared = 22
+@end deffn
+
+@geindex Warnid_Hide (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Hide}@anchor{561}
+@deffn {Attribute} Warnid_Hide = 23
+@end deffn
+
+@geindex Warnid_Unused (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unused}@anchor{562}
+@deffn {Attribute} Warnid_Unused = 24
+@end deffn
+
+@geindex Warnid_Others (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Others}@anchor{563}
+@deffn {Attribute} Warnid_Others = 25
+@end deffn
+
+@geindex Warnid_Pure (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pure}@anchor{564}
+@deffn {Attribute} Warnid_Pure = 26
+@end deffn
+
+@geindex Warnid_Analyze_Assert (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Analyze_Assert}@anchor{565}
+@deffn {Attribute} Warnid_Analyze_Assert = 27
+@end deffn
+
+@geindex Warnid_Attribute (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Attribute}@anchor{566}
+@deffn {Attribute} Warnid_Attribute = 28
+@end deffn
+
+@geindex Warnid_Static (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Static}@anchor{567}
+@deffn {Attribute} Warnid_Static = 29
+@end deffn
+
+@geindex Msgid_Warning (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Warning}@anchor{568}
+@deffn {Attribute} Msgid_Warning = 30
+@end deffn
+
+@geindex Msgid_Error (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Error}@anchor{569}
+@deffn {Attribute} Msgid_Error = 31
+@end deffn
+
+@geindex Msgid_Fatal (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Fatal}@anchor{56a}
+@deffn {Attribute} Msgid_Fatal = 32
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Enable_Warning() (in module pyGHDL.libghdl.errorout)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Enable_Warning}@anchor{549}
+@deffn {Function} pyGHDL.libghdl.errorout.Enable_Warning (Id, Enable)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl errorout_console,pyGHDL libghdl errorout_memory,pyGHDL libghdl errorout,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl errorout_console doc}@anchor{56b}@anchor{pyGHDL/pyGHDL libghdl errorout_console module-pyGHDL libghdl errorout_console}@anchor{1a}@anchor{pyGHDL/pyGHDL libghdl errorout_console pyghdl-libghdl-errorout-console}@anchor{56c}
+@subsection pyGHDL.libghdl.errorout_console
+
+
+@geindex module; pyGHDL.libghdl.errorout_console
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{56d,,Install_Handler()}:
+Install the handlers for reporting errors.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_console)
+@anchor{pyGHDL/pyGHDL libghdl errorout_console pyGHDL libghdl errorout_console Install_Handler}@anchor{56d}
+@deffn {Function} pyGHDL.libghdl.errorout_console.Install_Handler ()
+
+
+Install the handlers for reporting errors.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl errorout_memory,pyGHDL libghdl files_map,pyGHDL libghdl errorout_console,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory doc}@anchor{56e}@anchor{pyGHDL/pyGHDL libghdl errorout_memory module-pyGHDL libghdl errorout_memory}@anchor{1b}@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyghdl-libghdl-errorout-memory}@anchor{56f}
+@subsection pyGHDL.libghdl.errorout_memory
+
+
+@geindex module; pyGHDL.libghdl.errorout_memory
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{570,,Error_Message}:
+Id : Msgid_Type
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{571,,Install_Handler()}:
+Install the handlers for reporting errors.
+
+@item
+@ref{572,,Get_Nbr_Messages()}:
+Get number of error messages available.
+
+@item
+@ref{573,,Get_Error_Record()}:
+Get error messages by index @code{Idy} as structure @ref{570,,Error_Message}.
+
+@item
+@ref{574,,Get_Error_Message()}:
+Get error messages by index @code{Idx} as string.
+
+@item
+@ref{575,,Clear_Errors()}:
+Remove all error messages.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Error_Message (class in pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message}@anchor{570}
+@deffn {Class} pyGHDL.libghdl.errorout_memory.Error_Message
+
+
+
+@table @asis
+
+@item Id : Msgid_Type
+
+Message error/warning id
+
+@item Group : Group_Type;
+
+Whether this is an single message or a related one.
+
+@item File : Source_File_Entry;
+
+Error soure file.
+
+@item Line : Natural;
+
+The first line is line 1, 0 can be used when line number is not relevant.
+
+@item Offset : Natural;
+
+Offset in the line. The first character is at offset 0.
+
+@item Length : Natural;
+
+Length of the location (for a range). It is assumed to be on the same line;
+use 0 when unknown.
+@end table
+
+@subsubheading Inheritance
+
+@image{inheritance-a53f6e52ecf0567bea2c25e19765b87556145edc,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _fields_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _fields_}@anchor{576}
+@deffn {Attribute} _fields_ = [('id', <class 'ctypes.c_byte'>), ('group', <class 'ctypes.c_byte'>), ('file', <class 'ctypes.c_long'>), ('line', <class 'ctypes.c_long'>), ('offset', <class 'ctypes.c_long'>), ('length', <class 'ctypes.c_long'>)]
+@end deffn
+
+@geindex _b_base_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_base_}@anchor{577}
+@deffn {Attribute} _b_base_
+
+the base object
+@end deffn
+
+@geindex _b_needsfree_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_needsfree_}@anchor{578}
+@deffn {Attribute} _b_needsfree_
+
+whether the object owns the memory or not
+@end deffn
+
+@geindex _objects (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _objects}@anchor{579}
+@deffn {Attribute} _objects
+
+internal objects tree (NEVER CHANGE THIS OBJECT!)
+@end deffn
+
+@geindex file (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message file}@anchor{57a}
+@deffn {Attribute} file
+
+Structure/Union member
+@end deffn
+
+@geindex group (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message group}@anchor{57b}
+@deffn {Attribute} group
+
+Structure/Union member
+@end deffn
+
+@geindex id (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message id}@anchor{57c}
+@deffn {Attribute} id
+
+Structure/Union member
+@end deffn
+
+@geindex length (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message length}@anchor{57d}
+@deffn {Attribute} length
+
+Structure/Union member
+@end deffn
+
+@geindex line (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message line}@anchor{57e}
+@deffn {Attribute} line
+
+Structure/Union member
+@end deffn
+
+@geindex offset (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message offset}@anchor{57f}
+@deffn {Attribute} offset
+
+Structure/Union member
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Install_Handler}@anchor{571}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Install_Handler ()
+
+
+Install the handlers for reporting errors.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Nbr_Messages() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Nbr_Messages}@anchor{572}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Nbr_Messages ()
+
+
+Get number of error messages available.
+
+@*Return type:
+~ErrorIndex
+
+
+@*Returns:
+Number of messages available.
+
+@end deffn
+
+@geindex Get_Error_Record() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Record}@anchor{573}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Record (Idx)
+
+
+Get error messages by index @code{Idy} as structure @ref{570,,Error_Message}.
+
+@*Parameters:
+@code{Idx} (@code{~ErrorIndex}) – Index from 1 to @code{Nbr_Messages} See @ref{572,,Get_Nbr_Messages()}.
+
+
+@*Return type:
+@ref{570,,Error_Message}
+
+
+@*Returns:
+Type: @code{Error_Message}
+
+@end deffn
+
+@geindex Get_Error_Message() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Message}@anchor{574}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Message (Idx)
+
+
+Get error messages by index @code{Idx} as string.
+
+@*Parameters:
+@code{Idx} (@code{~ErrorIndex}) – Index from 1 to @code{Nbr_Messages} See @ref{572,,Get_Nbr_Messages()}.
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+
+@*Returns:
+Error message.
+
+@end deffn
+
+@geindex Clear_Errors() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Clear_Errors}@anchor{575}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Clear_Errors ()
+
+
+Remove all error messages.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl files_map,pyGHDL libghdl files_map_editor,pyGHDL libghdl errorout_memory,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl files_map doc}@anchor{580}@anchor{pyGHDL/pyGHDL libghdl files_map module-pyGHDL libghdl files_map}@anchor{1c}@anchor{pyGHDL/pyGHDL libghdl files_map pyghdl-libghdl-files-map}@anchor{581}
+@subsection pyGHDL.libghdl.files_map
+
+
+@geindex module; pyGHDL.libghdl.files_map
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{582,,EOT}
+
+@item
+@ref{583,,No_Source_File_Entry}
+
+@item
+@ref{584,,No_Location}
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{585,,Location_To_File()}:
+Convert @code{Location} to a source file.
+
+@item
+@ref{586,,Location_File_To_Pos()}:
+Convert @code{Location} and @code{File} to a position (offset) into the source file.
+
+@item
+@ref{587,,Location_File_To_Line()}:
+Convert @code{Location} and @code{File} to a line number.
+
+@item
+@ref{588,,Location_File_Line_To_Offset()}:
+Get the offset in @code{Line} of @code{Location}.
+
+@item
+@ref{589,,Location_File_Line_To_Col()}:
+Get logical column (with HT expanded) from @code{Location}, @code{File} and
+
+@item
+@ref{58a,,File_To_Location()}:
+Convert a @code{File} into a location.
+
+@item
+@ref{58b,,File_Pos_To_Location()}:
+Convert a @code{File} and an offset @code{Pos} in the file into a location.
+
+@item
+@ref{58c,,File_Line_To_Position()}:
+Convert a @code{File} and @code{Line} into a position.
+
+@item
+@ref{58d,,Get_File_Name()}:
+Return the name of the file.
+
+@item
+@ref{58e,,Get_Directory_Name()}:
+Return the directory of the file.
+
+@item
+@ref{58f,,Get_File_Buffer()}:
+Return a buffer (access to the contents of the file) for a file entry.
+
+@item
+@ref{590,,Get_File_Length()}:
+Get the position of the first EOT character.
+
+@item
+@ref{591,,Set_File_Length()}:
+Set the length of the file (which is less than the size of the file buffer).
+
+@item
+@ref{592,,Reserve_Source_File()}:
+Reserve an entry, but do not read any file.
+
+@item
+@ref{593,,Discard_Source_File()}:
+Mark @code{File} as unavailable: clear the name and directory.
+
+@item
+@ref{594,,Free_Source_File()}:
+Free resources used by @code{File}, but keep the entry.
+
+@item
+@ref{595,,Get_Last_Source_File_Entry()}:
+Returns the entry of the last known file.
+@end itemize
+
+@geindex EOT (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map EOT}@anchor{582}
+@deffn {Data} pyGHDL.libghdl.files_map.EOT
+
+bytes(iterable_of_ints) -> bytes
+bytes(string, encoding[, errors]) -> bytes
+bytes(bytes_or_buffer) -> immutable copy of bytes_or_buffer
+bytes(int) -> bytes object of size given by the parameter initialized with null bytes
+bytes() -> empty bytes object
+
+
+@table @asis
+
+@item Construct an immutable array of bytes from:
+
+
+@itemize -
+
+@item
+an iterable yielding integers in range(256)
+
+@item
+a text string encoded using the specified encoding
+
+@item
+any object implementing the buffer API.
+
+@item
+an integer
+@end itemize
+@end table
@example
---all, -a Compile all libraries, including common libraries, packages and device libraries.
---altera Compile base libraries like 'altera' and 'altera_mf'
---max Compile device libraries for Max CPLDs
---arria Compile device libraries for Arria FPGAs
---cyclone Compile device libraries for Cyclone FPGAs
---stratix Compile device libraries for Stratix FPGAs
+b'\x04'
@end example
+@end deffn
-Compile options:
+@geindex No_Source_File_Entry (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Source_File_Entry}@anchor{583}
+@deffn {Data} pyGHDL.libghdl.files_map.No_Source_File_Entry
+
+int([x]) -> integer
+int(x, base=10) -> integer
+
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
+
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
@example
---vhdl93 Compile selected libraries with VHDL-93 (default).
---vhdl2008 Compile selected libraries with VHDL-2008.
+0
@end example
+@end deffn
-@item
-@cite{compile-xilinx-ise.sh}
+@geindex No_Location (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Location}@anchor{584}
+@deffn {Data} pyGHDL.libghdl.files_map.No_Location
-Selectable libraries:
+int([x]) -> integer
+int(x, base=10) -> integer
+
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
+
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
@example
---all, -a Compile all libraries, including common libraries, packages and device libraries.
---unisim Compile the unisim primitives
---unimacro Compile the unimacro macros
---simprim Compile the simprim primitives
---corelib Compile the xilinxcorelib macros
---secureip Compile the secureip primitives
+0
@end example
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Location_To_File() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_To_File}@anchor{585}
+@deffn {Function} pyGHDL.libghdl.files_map.Location_To_File (Location)
+
+
+Convert @code{Location} to a source file.
+
+@*Parameters:
+@code{Location} (@code{~LocationType}) – Location
+
+
+@*Return type:
+~SourceFileEntry
+
+
+@*Returns:
+Source file. Return @code{No_Source_File_Entry} if location is incorrect.
+
+@end deffn
+
+@geindex Location_File_To_Pos() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Pos}@anchor{586}
+@deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Pos (Location, File)
+
+
+Convert @code{Location} and @code{File} to a position (offset) into the source file.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Location} (@code{~LocationType}) – Location
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+@end itemize
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Offset
+
+@end deffn
+
+@geindex Location_File_To_Line() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Line}@anchor{587}
+@deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Line (Location, File)
+
+
+Convert @code{Location} and @code{File} to a line number.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Location} (@code{~LocationType}) – Location
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+@end itemize
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Line number
+
+@end deffn
+
+@geindex Location_File_Line_To_Offset() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Offset}@anchor{588}
+@deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Offset (Location, File, Line)
+
+
+Get the offset in @code{Line} of @code{Location}.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Location} (@code{~LocationType}) – Location
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+@item
+@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
+@end itemize
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Offset
+
+@end deffn
+
+@geindex Location_File_Line_To_Col() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Col}@anchor{589}
+@deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Col (Location, File, Line)
+
+
+Get logical column (with HT expanded) from @code{Location}, @code{File} and
+@code{Line}.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Location} (@code{~LocationType}) – Location
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+@item
+@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
+@end itemize
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+logical column (horizontal tabs are expanded)
+
+@end deffn
+
+@geindex File_To_Location() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_To_Location}@anchor{58a}
+@deffn {Function} pyGHDL.libghdl.files_map.File_To_Location (File)
+
+
+Convert a @code{File} into a location.
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+
+@*Return type:
+~LocationType
+
+
+@*Returns:
+Location.
+
+@end deffn
+
+@geindex File_Pos_To_Location() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Pos_To_Location}@anchor{58b}
+@deffn {Function} pyGHDL.libghdl.files_map.File_Pos_To_Location (File, Pos)
+
+
+Convert a @code{File} and an offset @code{Pos} in the file into a location.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+@item
+@code{Pos} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Offset in the file
+@end itemize
+
+
+@*Return type:
+~LocationType
+
+
+@*Returns:
+Location.
+
+@end deffn
+
+@geindex File_Line_To_Position() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Line_To_Position}@anchor{58c}
+@deffn {Function} pyGHDL.libghdl.files_map.File_Line_To_Position (File, Line)
+
+
+Convert a @code{File} and @code{Line} into a position.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+@item
+@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
+@end itemize
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Return @code{Source_Ptr_Bad} in case of error (@code{Line} out of bounds).
+
+@end deffn
+
+@geindex Get_File_Name() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Name}@anchor{58d}
+@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Name (File)
+
+
+Return the name of the file.
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file to get the filename from.
+
+
+@*Return type:
+~NameId
+
+
+@*Returns:
+NameId for the filename.
+
+@end deffn
+
+@geindex Get_Directory_Name() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Directory_Name}@anchor{58e}
+@deffn {Function} pyGHDL.libghdl.files_map.Get_Directory_Name (File)
+
+
+Return the directory of the file.
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file to get the directory name from.
+
+
+@*Return type:
+~NameId
+
+
+@*Returns:
+NameId for the directory.
+
+@end deffn
+
+@geindex Get_File_Buffer() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Buffer}@anchor{58f}
+@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Buffer (File)
+
+
+Return a buffer (access to the contents of the file) for a file entry.
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file to get the buffer from.
+
+
+@*Return type:
+bytes@footnote{https://docs.python.org/3.6/library/stdtypes.html#bytes}
+
+
+@*Returns:
+Type: @code{File_Buffer_Ptr}
+
+@end deffn
+
+@geindex Get_File_Length() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Length}@anchor{590}
+@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Length (File)
+
+
+Get the position of the first EOT character.
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Type: @code{Source_Ptr}
+
+@end deffn
+
+@geindex Set_File_Length() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Set_File_Length}@anchor{591}
+@deffn {Function} pyGHDL.libghdl.files_map.Set_File_Length (File, Length)
+
+
+Set the length of the file (which is less than the size of the file buffer).
+
+Set also append two EOT at the end of the file.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{~SourceFileEntry}) – Source file
+
+@item
+@code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length for the file. Type: @code{Source_Ptr}
+@end itemize
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Reserve_Source_File() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Reserve_Source_File}@anchor{592}
+@deffn {Function} pyGHDL.libghdl.files_map.Reserve_Source_File (Directory, Name, Length)
+
+
+Reserve an entry, but do not read any file.
+
+The length should includes the two terminal EOT.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Directory} (@code{~NameId}) – Directory name
+
+@item
+@code{Name} (@code{~NameId}) – File name
+
+@item
+@code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length to reserve. Type: @code{Source_Ptr}
+@end itemize
+
+
+@*Return type:
+~SourceFileEntry
+
+
+@*Returns:
+SourceFile
+
+@end deffn
+
+@geindex Discard_Source_File() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Discard_Source_File}@anchor{593}
+@deffn {Function} pyGHDL.libghdl.files_map.Discard_Source_File (File)
+
+
+Mark @code{File} as unavailable: clear the name and directory.
+
+@cartouche
+@quotation Hint
+This is needed before creating a new source file with the same name.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file to discard.
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Free_Source_File() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Free_Source_File}@anchor{594}
+@deffn {Function} pyGHDL.libghdl.files_map.Free_Source_File (File)
+
+
+Free resources used by @code{File}, but keep the entry.
+
+@cartouche
+@quotation Note
+It could be recycled for files that could fit - not implemented.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – Source file to free.
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Last_Source_File_Entry() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Last_Source_File_Entry}@anchor{595}
+@deffn {Function} pyGHDL.libghdl.files_map.Get_Last_Source_File_Entry ()
+
+
+Returns the entry of the last known file.
+
+@cartouche
+@quotation Hint
+This allows creating a table of @code{SourceFileEntry}.
+@end quotation
+@end cartouche
+
+@*Return type:
+~SourceFileEntry
+
+
+@*Returns:
+Last SourceFileEntry. Type: @code{SourceFileEntry}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl files_map_editor,pyGHDL libghdl flags,pyGHDL libghdl files_map,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor doc}@anchor{596}@anchor{pyGHDL/pyGHDL libghdl files_map_editor module-pyGHDL libghdl files_map_editor}@anchor{1d}@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyghdl-libghdl-files-map-editor}@anchor{597}
+@subsection pyGHDL.libghdl.files_map_editor
+
+
+@geindex module; pyGHDL.libghdl.files_map_editor
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{598,,Replace_Text()}:
+Replace [START; END) by TEXT.
+
+@item
+@ref{599,,Fill_Text()}:
+Replace the content of @code{File} with TEXT.
+
+@item
+@ref{59a,,Check_Buffer_Content()}:
+Check that content of @code{File} is STR[1 .. STR_LEN].
+
+@item
+@ref{59b,,Copy_Source_File()}:
+Copy content of @code{Src} to @code{Dest}.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Replace_Text() (in module pyGHDL.libghdl.files_map_editor)
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Replace_Text}@anchor{598}
+@deffn {Function} pyGHDL.libghdl.files_map_editor.Replace_Text (File, Start_Line, Start_Offset, End_Line, End_Offset, Text)
+
+
+Replace [START; END) by TEXT.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{~SourceFileEntry}) – File where to replace a text section.
+
+@item
+@code{Start_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+
+@item
+@code{Start_Offset} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+
+@item
+@code{End_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+
+@item
+@code{End_Offset} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+
+@item
+@code{Text} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – undocumented
+@end itemize
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+
+@*Returns:
+Return True in case of success, False in case of failure (the gap is too small).
+
+@end deffn
+
+@geindex Fill_Text() (in module pyGHDL.libghdl.files_map_editor)
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Fill_Text}@anchor{599}
+@deffn {Function} pyGHDL.libghdl.files_map_editor.Fill_Text (File, Text_Pointer, Text_Length)
-Compile options:
+
+Replace the content of @code{File} with TEXT.
+
+@cartouche
+@quotation Todo
+Replace @code{Text_Pointer} and @code{Text_Length} with Python string
+@end quotation
+@end cartouche
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{~SourceFileEntry}) – File where to replace the content.
+
+@item
+@code{Text_Pointer} – Type: @code{File_Buffer_Ptr}
+
+@item
+@code{Text_Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Type: @code{Source_Ptr}
+@end itemize
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Check_Buffer_Content() (in module pyGHDL.libghdl.files_map_editor)
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Check_Buffer_Content}@anchor{59a}
+@deffn {Function} pyGHDL.libghdl.files_map_editor.Check_Buffer_Content (File, String_Pointer, String_Length)
+
+
+Check that content of @code{File} is STR[1 .. STR_LEN].
+
+@cartouche
+@quotation Todo
+Replace @code{String_Pointer} and @code{String_Length} with Python string
+@end quotation
+@end cartouche
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{~SourceFileEntry}) – File to check the content.
+
+@item
+@code{String_Pointer} – Type: @code{File_Buffer_Ptr}
+
+@item
+@code{String_Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Type: @code{Source_Ptr}
+@end itemize
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Copy_Source_File() (in module pyGHDL.libghdl.files_map_editor)
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Copy_Source_File}@anchor{59b}
+@deffn {Function} pyGHDL.libghdl.files_map_editor.Copy_Source_File (Dest, Src)
+
+
+Copy content of @code{Src} to @code{Dest}.
+
+@cartouche
+@quotation Warning
+The size of @code{Dest} must be large enough.
+@end quotation
+@end cartouche
+
+Clear lines table of @code{Dest}.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl flags,pyGHDL libghdl libraries,pyGHDL libghdl files_map_editor,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl flags doc}@anchor{59c}@anchor{pyGHDL/pyGHDL libghdl flags module-pyGHDL libghdl flags}@anchor{1e}@anchor{pyGHDL/pyGHDL libghdl flags pyghdl-libghdl-flags}@anchor{59d}
+@subsection pyGHDL.libghdl.flags
+
+
+@geindex module; pyGHDL.libghdl.flags
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{59e,,Flag_Elocations}
+
+@item
+@ref{59f,,Verbose}
+
+@item
+@ref{5a0,,Flag_Elaborate_With_Outdated}
+
+@item
+@ref{5a1,,Flag_Force_Analysis}
+@end itemize
+
+@geindex Flag_Elocations (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elocations}@anchor{59e}
+@deffn {Data} pyGHDL.libghdl.flags.Flag_Elocations
@example
---vhdl93 Compile selected libraries with VHDL-93 (default).
---vhdl2008 Compile selected libraries with VHDL-2008.
+c_bool(False)
@end example
+@end deffn
-@item
-@cite{compile-xilinx-vivado.sh}
+@geindex Verbose (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Verbose}@anchor{59f}
+@deffn {Data} pyGHDL.libghdl.flags.Verbose
-Selectable libraries:
+@example
+c_bool(False)
+@end example
+@end deffn
+
+@geindex Flag_Elaborate_With_Outdated (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elaborate_With_Outdated}@anchor{5a0}
+@deffn {Data} pyGHDL.libghdl.flags.Flag_Elaborate_With_Outdated
@example
---all, -a Compile all libraries, including common libraries, packages and device libraries.
---unisim Compile the unisim primitives
---unimacro Compile the unimacro macros
---secureip Compile the secureip primitives
+c_bool(False)
@end example
+@end deffn
-Compile options:
+@geindex Flag_Force_Analysis (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Force_Analysis}@anchor{5a1}
+@deffn {Data} pyGHDL.libghdl.flags.Flag_Force_Analysis
@example
---vhdl93 Compile selected libraries with VHDL-93 (default).
---vhdl2008 Compile selected libraries with VHDL-2008.
+c_bool(False)
@end example
+@end deffn
-@item
-@cite{compile-osvvm.sh}
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-Selectable libraries:
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl libraries,pyGHDL libghdl name_table,pyGHDL libghdl flags,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl libraries doc}@anchor{5a2}@anchor{pyGHDL/pyGHDL libghdl libraries module-pyGHDL libghdl libraries}@anchor{1f}@anchor{pyGHDL/pyGHDL libghdl libraries pyghdl-libghdl-libraries}@anchor{5a3}
+@subsection pyGHDL.libghdl.libraries
+
+
+@geindex module; pyGHDL.libghdl.libraries
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{5a4,,Library_Location}
+
+@item
+@ref{5a5,,Work_Library}
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{5a6,,Get_Libraries_Chain()}:
+Get the chain of libraries. Can be used only to read (it mustn’t be modified).
+
+@item
+@ref{5a7,,Add_Design_Unit_Into_Library()}:
+Add or replace an design unit in the work library. DECL must not have a chain
+
+@item
+@ref{5a8,,Purge_Design_File()}:
+Remove the same file as @code{Design_File} from work library and all of its units.
+
+@item
+@ref{5a9,,Find_Entity_For_Component()}:
+Find an entity whose name is @code{Name} in any library.
+
+@item
+@ref{5aa,,Get_Library_No_Create()}:
+Get the library named @code{Ident}.
+
+@item
+@ref{5ab,,Find_Primary_Unit()}:
+Just return the design_unit for @code{Name}, or @code{NULL} if not found.
+@end itemize
+
+@geindex Library_Location (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Library_Location}@anchor{5a4}
+@deffn {Data} pyGHDL.libghdl.libraries.Library_Location
+
+A location for library declarations (such as library WORK). Use @code{.value} to
+access this variable inside libghdl.
@example
---all, -a Compile all.
---osvvm Compile the OSVVM library.
+c_long(1)
@end example
+@end deffn
-@item
-@cite{compile-uvvm.sh}
+@geindex Work_Library (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Work_Library}@anchor{5a5}
+@deffn {Data} pyGHDL.libghdl.libraries.Work_Library
-Selectable libraries:
+Library declaration for the work library. Note: the identifier of the work_library
+is @code{work_library_name}, which may be different from ‘WORK’. Use @code{.value} to
+access this variable inside libghdl.
@example
---all, -a Compile all.
---uvvm Compile the UVVM libraries.
+c_long(0)
@end example
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Get_Libraries_Chain() (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Libraries_Chain}@anchor{5a6}
+@deffn {Function} pyGHDL.libghdl.libraries.Get_Libraries_Chain ()
+
+
+Get the chain of libraries. Can be used only to read (it mustn’t be modified).
+
+@*Return type:
+~Iir_Library_Declaration
+
+
+@*Returns:
+undocumented
+
+@end deffn
+
+@geindex Add_Design_Unit_Into_Library() (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Add_Design_Unit_Into_Library}@anchor{5a7}
+@deffn {Function} pyGHDL.libghdl.libraries.Add_Design_Unit_Into_Library (Unit, Keep_Obsolete)
+
+
+Add or replace an design unit in the work library. DECL must not have a chain
+(because it may be modified).
+
+If the design_file of UNIT is not already in the library, a new one is created.
+
+Units are always appended to the design_file. Therefore, the order is kept.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Unit} (@code{~Iir_Design_Unit}) – undocumented
+
+@item
+@code{Keep_Obsolete} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) –
+If @code{Keep_Obsolete} is True, obsoleted units are
+kept in the library.
+
+This is used when a whole design file has to be added
+in the library and then processed (without that feature,
+redefined units would disappear).
+
@end itemize
-@node Selectable Options for the PowerShell Scripts,,Selectable Options for the Bash Scripts,Configuration Files
-@anchor{getting/PrecompileVendorPrimitives selectable-options-for-the-powershell-scripts}@anchor{fb}
-@subsection Selectable Options for the PowerShell Scripts:
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Purge_Design_File() (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Purge_Design_File}@anchor{5a8}
+@deffn {Function} pyGHDL.libghdl.libraries.Purge_Design_File (Design_File)
+
+
+Remove the same file as @code{Design_File} from work library and all of its units.
+
+@*Parameters:
+@code{Design_File} (@code{~Iir_Design_File}) – undocumented
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Find_Entity_For_Component() (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Entity_For_Component}@anchor{5a9}
+@deffn {Function} pyGHDL.libghdl.libraries.Find_Entity_For_Component (Name)
+
+
+Find an entity whose name is @code{Name} in any library.
+If there is no such entity, return @code{Null_Iir}.
+If there are several entities, return @code{Null_Iir};
+
+@*Parameters:
+@code{Name} (@code{~NameId}) – Entity name to search for.
+
+
+@*Return type:
+~Iir_Design_Unit
+
+
+@*Returns:
+undocumented
+
+@end deffn
+
+@geindex Get_Library_No_Create() (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Library_No_Create}@anchor{5aa}
+@deffn {Function} pyGHDL.libghdl.libraries.Get_Library_No_Create (Ident)
+
+
+Get the library named @code{Ident}.
+
+@*Parameters:
+@code{Ident} (@code{~NameId}) – Library to look for.
+@*Return type:
+~Iir_Library_Declaration
+
+
+@*Returns:
+Return @code{Null_Iir} if it doesn’t exist.
+
+@end deffn
+
+@geindex Find_Primary_Unit() (in module pyGHDL.libghdl.libraries)
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Primary_Unit}@anchor{5ab}
+@deffn {Function} pyGHDL.libghdl.libraries.Find_Primary_Unit (Library, Name)
+
+
+Just return the design_unit for @code{Name}, or @code{NULL} if not found.
+
+@*Parameters:
+
@itemize *
-@item
-Common parameters to all scripts:
+@item
+@code{Library} (@code{~Iir_Library_Declaration}) – Library to look in.
+
+@item
+@code{Name} (@code{~NameId}) – Primary unit to search for.
+@end itemize
+
+
+@*Return type:
+~Iir_Design_Unit
+
+
+@*Returns:
+undocumented
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl name_table,pyGHDL libghdl std_names,pyGHDL libghdl libraries,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl name_table doc}@anchor{5ac}@anchor{pyGHDL/pyGHDL libghdl name_table module-pyGHDL libghdl name_table}@anchor{20}@anchor{pyGHDL/pyGHDL libghdl name_table pyghdl-libghdl-name-table}@anchor{5ad}
+@subsection pyGHDL.libghdl.name_table
+
+
+@geindex module; pyGHDL.libghdl.name_table
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{5ae,,Null_Identifier}
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{5af,,Get_Name_Length()}:
+Get the length of an identifier denoted by a @code{NameId}.
+
+@item
+@ref{5b0,,Get_Name_Ptr()}:
+Get the string corresponding to identifier ID. The address is valid until
+
+@item
+@ref{5b1,,Get_Character()}:
+Get the string corresponding to character identifier ID.
+
+@item
+@ref{5b2,,Get_Identifier()}:
+Get or create an entry in the name table.
+@end itemize
+
+@geindex Null_Identifier (in module pyGHDL.libghdl.name_table)
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Null_Identifier}@anchor{5ae}
+@deffn {Data} pyGHDL.libghdl.name_table.Null_Identifier
+
+int([x]) -> integer
+int(x, base=10) -> integer
+
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
+
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
@example
--Help Print the embedded help page(s).
--Clean Cleanup directory before analyzing.
--SuppressWarnings Don't show warnings. Report errors only.
+0
@end example
+@end deffn
-@item
-@cite{compile-altera.ps1}
+@c #-----------------------------------
-Selectable libraries:
+@strong{Functions}
+
+@geindex Get_Name_Length() (in module pyGHDL.libghdl.name_table)
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Length}@anchor{5af}
+@deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Length (Id)
+
+
+Get the length of an identifier denoted by a @code{NameId}.
+
+@*Parameters:
+@code{Id} (@code{~NameId}) – NameId for the identifier to query.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Length of the identifier.
+
+@end deffn
+
+@geindex Get_Name_Ptr() (in module pyGHDL.libghdl.name_table)
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Ptr}@anchor{5b0}
+@deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Ptr (Id)
+
+
+Get the string corresponding to identifier ID. The address is valid until
+the next call to Get_Identifier (which may reallocate the string table).
+The string is NUL-terminated (this is done by get_identifier).
+
+@*Parameters:
+@code{Id} (@code{~NameId}) – NameId for the identifier to query.
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+
+@*Returns:
+Identifier as string.
+
+@end deffn
+
+@geindex Get_Character() (in module pyGHDL.libghdl.name_table)
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Character}@anchor{5b1}
+@deffn {Function} pyGHDL.libghdl.name_table.Get_Character (Id)
+
+
+Get the string corresponding to character identifier ID.
+
+@cartouche
+@quotation Note
+This is used for character literals and enumeration literals.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{Id} (@code{~NameId}) – NameId for the identifier to query.
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+
+@*Returns:
+Get the character of the identifier.
+
+@end deffn
+
+@geindex Get_Identifier() (in module pyGHDL.libghdl.name_table)
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Identifier}@anchor{5b2}
+@deffn {Function} pyGHDL.libghdl.name_table.Get_Identifier (string)
+
+
+Get or create an entry in the name table.
+
+@cartouche
+@quotation Note
+
+@itemize *
+
+@item
+an identifier is represented in all lower case letter,
+
+@item
+an extended identifier is represented in backslashes, double internal
+backslashes are simplified.
+@end itemize
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{string} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – String to create or lookup.
+
+
+@*Return type:
+~NameId
+
+
+@*Returns:
+Id in name table.
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl std_names,pyGHDL libghdl utils,pyGHDL libghdl name_table,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl std_names doc}@anchor{5b3}@anchor{pyGHDL/pyGHDL libghdl std_names module-pyGHDL libghdl std_names}@anchor{21}@anchor{pyGHDL/pyGHDL libghdl std_names pyghdl-libghdl-std-names}@anchor{5b4}
+@subsection pyGHDL.libghdl.std_names
+
+
+@geindex module; pyGHDL.libghdl.std_names
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{5b5,,Name}:
+Undocumented.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Name (class in pyGHDL.libghdl.std_names)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name}@anchor{5b5}
+@deffn {Class} pyGHDL.libghdl.std_names.Name
+
+
+@subsubheading Inheritance
+
+@image{inheritance-deed95c51f8b9604aeb62a464fe363d7efe68e13,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex First_Character (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Character}@anchor{5b6}
+@deffn {Attribute} First_Character = 1
+@end deffn
+
+@geindex Last_Character (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Character}@anchor{5b7}
+@deffn {Attribute} Last_Character = 256
+@end deffn
+
+@geindex First_Keyword (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Keyword}@anchor{5b8}
+@deffn {Attribute} First_Keyword = 257
+@end deffn
+
+@geindex Mod (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mod}@anchor{5b9}
+@deffn {Attribute} Mod = 257
+@end deffn
+
+@geindex Rem (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rem}@anchor{5ba}
+@deffn {Attribute} Rem = 258
+@end deffn
+
+@geindex Abs (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abs}@anchor{5bb}
+@deffn {Attribute} Abs = 259
+@end deffn
+
+@geindex Not (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Not}@anchor{5bc}
+@deffn {Attribute} Not = 260
+@end deffn
+
+@geindex Access (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Access}@anchor{5bd}
+@deffn {Attribute} Access = 261
+@end deffn
+
+@geindex After (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name After}@anchor{5be}
+@deffn {Attribute} After = 262
+@end deffn
+
+@geindex Alias (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Alias}@anchor{5bf}
+@deffn {Attribute} Alias = 263
+@end deffn
+
+@geindex All (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name All}@anchor{5c0}
+@deffn {Attribute} All = 264
+@end deffn
+
+@geindex Architecture (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Architecture}@anchor{5c1}
+@deffn {Attribute} Architecture = 265
+@end deffn
+
+@geindex Array (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Array}@anchor{5c2}
+@deffn {Attribute} Array = 266
+@end deffn
+
+@geindex Assert (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assert}@anchor{5c3}
+@deffn {Attribute} Assert = 267
+@end deffn
+
+@geindex Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Attribute}@anchor{5c4}
+@deffn {Attribute} Attribute = 268
+@end deffn
+
+@geindex Begin (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin}@anchor{5c5}
+@deffn {Attribute} Begin = 269
+@end deffn
+
+@geindex Block (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Block}@anchor{5c6}
+@deffn {Attribute} Block = 270
+@end deffn
+
+@geindex Body (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Body}@anchor{5c7}
+@deffn {Attribute} Body = 271
+@end deffn
+
+@geindex Buffer (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buffer}@anchor{5c8}
+@deffn {Attribute} Buffer = 272
+@end deffn
+
+@geindex Bus (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bus}@anchor{5c9}
+@deffn {Attribute} Bus = 273
+@end deffn
+
+@geindex Case (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Case}@anchor{5ca}
+@deffn {Attribute} Case = 274
+@end deffn
+
+@geindex Component (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Component}@anchor{5cb}
+@deffn {Attribute} Component = 275
+@end deffn
+
+@geindex Configuration (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Configuration}@anchor{5cc}
+@deffn {Attribute} Configuration = 276
+@end deffn
+
+@geindex Constant (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constant}@anchor{5cd}
+@deffn {Attribute} Constant = 277
+@end deffn
+
+@geindex Disconnect (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disconnect}@anchor{5ce}
+@deffn {Attribute} Disconnect = 278
+@end deffn
+
+@geindex Downto (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Downto}@anchor{5cf}
+@deffn {Attribute} Downto = 279
+@end deffn
+
+@geindex Else (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Else}@anchor{5d0}
+@deffn {Attribute} Else = 280
+@end deffn
+
+@geindex Elsif (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Elsif}@anchor{5d1}
+@deffn {Attribute} Elsif = 281
+@end deffn
+
+@geindex End (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End}@anchor{5d2}
+@deffn {Attribute} End = 282
+@end deffn
+
+@geindex Entity (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Entity}@anchor{5d3}
+@deffn {Attribute} Entity = 283
+@end deffn
+
+@geindex Exit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exit}@anchor{5d4}
+@deffn {Attribute} Exit = 284
+@end deffn
+
+@geindex File (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File}@anchor{5d5}
+@deffn {Attribute} File = 285
+@end deffn
+
+@geindex For (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name For}@anchor{5d6}
+@deffn {Attribute} For = 286
+@end deffn
+
+@geindex Function (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Function}@anchor{5d7}
+@deffn {Attribute} Function = 287
+@end deffn
+
+@geindex Generate (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generate}@anchor{5d8}
+@deffn {Attribute} Generate = 288
+@end deffn
+
+@geindex Generic (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generic}@anchor{5d9}
+@deffn {Attribute} Generic = 289
+@end deffn
+
+@geindex Guarded (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guarded}@anchor{5da}
+@deffn {Attribute} Guarded = 290
+@end deffn
+
+@geindex If (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name If}@anchor{5db}
+@deffn {Attribute} If = 291
+@end deffn
+
+@geindex In (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name In}@anchor{5dc}
+@deffn {Attribute} In = 292
+@end deffn
+
+@geindex Inout (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inout}@anchor{5dd}
+@deffn {Attribute} Inout = 293
+@end deffn
+
+@geindex Is (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is}@anchor{5de}
+@deffn {Attribute} Is = 294
+@end deffn
+
+@geindex Label (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label}@anchor{5df}
+@deffn {Attribute} Label = 295
+@end deffn
+
+@geindex Library (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Library}@anchor{5e0}
+@deffn {Attribute} Library = 296
+@end deffn
+
+@geindex Linkage (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Linkage}@anchor{5e1}
+@deffn {Attribute} Linkage = 297
+@end deffn
+
+@geindex Loop (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loop}@anchor{5e2}
+@deffn {Attribute} Loop = 298
+@end deffn
+
+@geindex Map (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map}@anchor{5e3}
+@deffn {Attribute} Map = 299
+@end deffn
+
+@geindex New (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name New}@anchor{5e4}
+@deffn {Attribute} New = 300
+@end deffn
+
+@geindex Next (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next}@anchor{5e5}
+@deffn {Attribute} Next = 301
+@end deffn
+
+@geindex Null (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Null}@anchor{5e6}
+@deffn {Attribute} Null = 302
+@end deffn
+
+@geindex Of (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Of}@anchor{5e7}
+@deffn {Attribute} Of = 303
+@end deffn
+
+@geindex On (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name On}@anchor{5e8}
+@deffn {Attribute} On = 304
+@end deffn
+
+@geindex Open (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open}@anchor{5e9}
+@deffn {Attribute} Open = 305
+@end deffn
+
+@geindex Others (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Others}@anchor{5ea}
+@deffn {Attribute} Others = 306
+@end deffn
+
+@geindex Out (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Out}@anchor{5eb}
+@deffn {Attribute} Out = 307
+@end deffn
+
+@geindex Package (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Package}@anchor{5ec}
+@deffn {Attribute} Package = 308
+@end deffn
+
+@geindex Port (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Port}@anchor{5ed}
+@deffn {Attribute} Port = 309
+@end deffn
+
+@geindex Procedure (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedure}@anchor{5ee}
+@deffn {Attribute} Procedure = 310
+@end deffn
+
+@geindex Process (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Process}@anchor{5ef}
+@deffn {Attribute} Process = 311
+@end deffn
+
+@geindex Range (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Range}@anchor{5f0}
+@deffn {Attribute} Range = 312
+@end deffn
+
+@geindex Record (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Record}@anchor{5f1}
+@deffn {Attribute} Record = 313
+@end deffn
+
+@geindex Register (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Register}@anchor{5f2}
+@deffn {Attribute} Register = 314
+@end deffn
+
+@geindex Report (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Report}@anchor{5f3}
+@deffn {Attribute} Report = 315
+@end deffn
+
+@geindex Return (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return}@anchor{5f4}
+@deffn {Attribute} Return = 316
+@end deffn
+
+@geindex Select (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Select}@anchor{5f5}
+@deffn {Attribute} Select = 317
+@end deffn
+
+@geindex Severity (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity}@anchor{5f6}
+@deffn {Attribute} Severity = 318
+@end deffn
+
+@geindex Signal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signal}@anchor{5f7}
+@deffn {Attribute} Signal = 319
+@end deffn
+
+@geindex Subtype (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subtype}@anchor{5f8}
+@deffn {Attribute} Subtype = 320
+@end deffn
+
+@geindex Then (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Then}@anchor{5f9}
+@deffn {Attribute} Then = 321
+@end deffn
+
+@geindex To (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To}@anchor{5fa}
+@deffn {Attribute} To = 322
+@end deffn
+
+@geindex Transport (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transport}@anchor{5fb}
+@deffn {Attribute} Transport = 323
+@end deffn
+
+@geindex Type (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type}@anchor{5fc}
+@deffn {Attribute} Type = 324
+@end deffn
+
+@geindex Units (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Units}@anchor{5fd}
+@deffn {Attribute} Units = 325
+@end deffn
+
+@geindex Until (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until}@anchor{5fe}
+@deffn {Attribute} Until = 326
+@end deffn
+
+@geindex Use (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Use}@anchor{5ff}
+@deffn {Attribute} Use = 327
+@end deffn
+
+@geindex Variable (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Variable}@anchor{600}
+@deffn {Attribute} Variable = 328
+@end deffn
+
+@geindex Wait (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait}@anchor{601}
+@deffn {Attribute} Wait = 329
+@end deffn
+
+@geindex When (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name When}@anchor{602}
+@deffn {Attribute} When = 330
+@end deffn
+
+@geindex While (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name While}@anchor{603}
+@deffn {Attribute} While = 331
+@end deffn
+
+@geindex With (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name With}@anchor{604}
+@deffn {Attribute} With = 332
+@end deffn
+
+@geindex And (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And}@anchor{605}
+@deffn {Attribute} And = 333
+@end deffn
+
+@geindex Or (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or}@anchor{606}
+@deffn {Attribute} Or = 334
+@end deffn
+
+@geindex Xor (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor}@anchor{607}
+@deffn {Attribute} Xor = 335
+@end deffn
+
+@geindex Nand (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand}@anchor{608}
+@deffn {Attribute} Nand = 336
+@end deffn
+
+@geindex Nor (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor}@anchor{609}
+@deffn {Attribute} Nor = 337
+@end deffn
+
+@geindex Last_Vhdl87 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87}@anchor{60a}
+@deffn {Attribute} Last_Vhdl87 = 337
+@end deffn
+
+@geindex Xnor (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor}@anchor{60b}
+@deffn {Attribute} Xnor = 338
+@end deffn
+
+@geindex Group (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Group}@anchor{60c}
+@deffn {Attribute} Group = 339
+@end deffn
+
+@geindex Impure (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Impure}@anchor{60d}
+@deffn {Attribute} Impure = 340
+@end deffn
+
+@geindex Inertial (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inertial}@anchor{60e}
+@deffn {Attribute} Inertial = 341
+@end deffn
+
+@geindex Literal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Literal}@anchor{60f}
+@deffn {Attribute} Literal = 342
+@end deffn
+
+@geindex Postponed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Postponed}@anchor{610}
+@deffn {Attribute} Postponed = 343
+@end deffn
+
+@geindex Pure (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pure}@anchor{611}
+@deffn {Attribute} Pure = 344
+@end deffn
+
+@geindex Reject (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reject}@anchor{612}
+@deffn {Attribute} Reject = 345
+@end deffn
+
+@geindex Shared (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shared}@anchor{613}
+@deffn {Attribute} Shared = 346
+@end deffn
+
+@geindex Unaffected (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unaffected}@anchor{614}
+@deffn {Attribute} Unaffected = 347
+@end deffn
+
+@geindex Sll (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sll}@anchor{615}
+@deffn {Attribute} Sll = 348
+@end deffn
+
+@geindex Sla (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sla}@anchor{616}
+@deffn {Attribute} Sla = 349
+@end deffn
+
+@geindex Sra (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sra}@anchor{617}
+@deffn {Attribute} Sra = 350
+@end deffn
+
+@geindex Srl (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srl}@anchor{618}
+@deffn {Attribute} Srl = 351
+@end deffn
+
+@geindex Rol (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rol}@anchor{619}
+@deffn {Attribute} Rol = 352
+@end deffn
+
+@geindex Ror (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ror}@anchor{61a}
+@deffn {Attribute} Ror = 353
+@end deffn
+
+@geindex Last_Vhdl93 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93}@anchor{61b}
+@deffn {Attribute} Last_Vhdl93 = 353
+@end deffn
+
+@geindex Protected (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protected}@anchor{61c}
+@deffn {Attribute} Protected = 354
+@end deffn
+
+@geindex Last_Vhdl00 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl00}@anchor{61d}
+@deffn {Attribute} Last_Vhdl00 = 354
+@end deffn
+
+@geindex Assume (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume}@anchor{61e}
+@deffn {Attribute} Assume = 355
+@end deffn
+
+@geindex Context (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Context}@anchor{61f}
+@deffn {Attribute} Context = 356
+@end deffn
+
+@geindex Cover (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cover}@anchor{620}
+@deffn {Attribute} Cover = 357
+@end deffn
+
+@geindex Default (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default}@anchor{621}
+@deffn {Attribute} Default = 358
+@end deffn
+
+@geindex Force (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Force}@anchor{622}
+@deffn {Attribute} Force = 359
+@end deffn
+
+@geindex Parameter (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parameter}@anchor{623}
+@deffn {Attribute} Parameter = 360
+@end deffn
+
+@geindex Property (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Property}@anchor{624}
+@deffn {Attribute} Property = 361
+@end deffn
+
+@geindex Release (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Release}@anchor{625}
+@deffn {Attribute} Release = 362
+@end deffn
+
+@geindex Restrict (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict}@anchor{626}
+@deffn {Attribute} Restrict = 363
+@end deffn
+
+@geindex Restrict_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict_Guarantee}@anchor{627}
+@deffn {Attribute} Restrict_Guarantee = 364
+@end deffn
+
+@geindex Sequence (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sequence}@anchor{628}
+@deffn {Attribute} Sequence = 365
+@end deffn
+
+@geindex Vmode (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vmode}@anchor{629}
+@deffn {Attribute} Vmode = 366
+@end deffn
+
+@geindex Vprop (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vprop}@anchor{62a}
+@deffn {Attribute} Vprop = 367
+@end deffn
+
+@geindex Vunit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vunit}@anchor{62b}
+@deffn {Attribute} Vunit = 368
+@end deffn
+
+@geindex Last_Vhdl08 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08}@anchor{62c}
+@deffn {Attribute} Last_Vhdl08 = 368
+@end deffn
+
+@geindex First_Ams_Keyword (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ams_Keyword}@anchor{62d}
+@deffn {Attribute} First_Ams_Keyword = 369
+@end deffn
+
+@geindex Across (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Across}@anchor{62e}
+@deffn {Attribute} Across = 369
+@end deffn
+
+@geindex Break (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Break}@anchor{62f}
+@deffn {Attribute} Break = 370
+@end deffn
+
+@geindex Limit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Limit}@anchor{630}
+@deffn {Attribute} Limit = 371
+@end deffn
+
+@geindex Nature (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nature}@anchor{631}
+@deffn {Attribute} Nature = 372
+@end deffn
+
+@geindex Noise (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Noise}@anchor{632}
+@deffn {Attribute} Noise = 373
+@end deffn
+
+@geindex Procedural (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedural}@anchor{633}
+@deffn {Attribute} Procedural = 374
+@end deffn
+
+@geindex Quantity (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quantity}@anchor{634}
+@deffn {Attribute} Quantity = 375
+@end deffn
+
+@geindex Reference (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reference}@anchor{635}
+@deffn {Attribute} Reference = 376
+@end deffn
+
+@geindex Spectrum (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Spectrum}@anchor{636}
+@deffn {Attribute} Spectrum = 377
+@end deffn
+
+@geindex Subnature (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subnature}@anchor{637}
+@deffn {Attribute} Subnature = 378
+@end deffn
+
+@geindex Terminal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Terminal}@anchor{638}
+@deffn {Attribute} Terminal = 379
+@end deffn
+
+@geindex Through (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Through}@anchor{639}
+@deffn {Attribute} Through = 380
+@end deffn
+
+@geindex Tolerance (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tolerance}@anchor{63a}
+@deffn {Attribute} Tolerance = 381
+@end deffn
+
+@geindex Last_AMS_Vhdl (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Vhdl}@anchor{63b}
+@deffn {Attribute} Last_AMS_Vhdl = 381
+@end deffn
+
+@geindex Last_Keyword (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Keyword}@anchor{63c}
+@deffn {Attribute} Last_Keyword = 381
+@end deffn
+
+@geindex First_Verilog (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Verilog}@anchor{63d}
+@deffn {Attribute} First_Verilog = 382
+@end deffn
+
+@geindex Always (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always}@anchor{63e}
+@deffn {Attribute} Always = 382
+@end deffn
+
+@geindex Assign (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assign}@anchor{63f}
+@deffn {Attribute} Assign = 383
+@end deffn
+
+@geindex Buf (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buf}@anchor{640}
+@deffn {Attribute} Buf = 384
+@end deffn
+
+@geindex Bufif0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif0}@anchor{641}
+@deffn {Attribute} Bufif0 = 385
+@end deffn
+
+@geindex Bufif1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif1}@anchor{642}
+@deffn {Attribute} Bufif1 = 386
+@end deffn
+
+@geindex Casex (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casex}@anchor{643}
+@deffn {Attribute} Casex = 387
+@end deffn
+
+@geindex Casez (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casez}@anchor{644}
+@deffn {Attribute} Casez = 388
+@end deffn
+
+@geindex Cmos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cmos}@anchor{645}
+@deffn {Attribute} Cmos = 389
+@end deffn
+
+@geindex Deassign (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deassign}@anchor{646}
+@deffn {Attribute} Deassign = 390
+@end deffn
+
+@geindex Defparam (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Defparam}@anchor{647}
+@deffn {Attribute} Defparam = 391
+@end deffn
+
+@geindex Disable (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disable}@anchor{648}
+@deffn {Attribute} Disable = 392
+@end deffn
+
+@geindex Edge (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Edge}@anchor{649}
+@deffn {Attribute} Edge = 393
+@end deffn
+
+@geindex Endcase (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcase}@anchor{64a}
+@deffn {Attribute} Endcase = 394
+@end deffn
+
+@geindex Endfunction (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfunction}@anchor{64b}
+@deffn {Attribute} Endfunction = 395
+@end deffn
+
+@geindex Endmodule (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmodule}@anchor{64c}
+@deffn {Attribute} Endmodule = 396
+@end deffn
+
+@geindex Endprimitive (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprimitive}@anchor{64d}
+@deffn {Attribute} Endprimitive = 397
+@end deffn
+
+@geindex Endspecify (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endspecify}@anchor{64e}
+@deffn {Attribute} Endspecify = 398
+@end deffn
+
+@geindex Endtable (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtable}@anchor{64f}
+@deffn {Attribute} Endtable = 399
+@end deffn
+
+@geindex Endtask (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtask}@anchor{650}
+@deffn {Attribute} Endtask = 400
+@end deffn
+
+@geindex Forever (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forever}@anchor{651}
+@deffn {Attribute} Forever = 401
+@end deffn
+
+@geindex Fork (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fork}@anchor{652}
+@deffn {Attribute} Fork = 402
+@end deffn
+
+@geindex Highz0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz0}@anchor{653}
+@deffn {Attribute} Highz0 = 403
+@end deffn
+
+@geindex Highz1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz1}@anchor{654}
+@deffn {Attribute} Highz1 = 404
+@end deffn
+
+@geindex Ifnone (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifnone}@anchor{655}
+@deffn {Attribute} Ifnone = 405
+@end deffn
+
+@geindex Initial (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Initial}@anchor{656}
+@deffn {Attribute} Initial = 406
+@end deffn
+
+@geindex Input (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input}@anchor{657}
+@deffn {Attribute} Input = 407
+@end deffn
+
+@geindex Join (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join}@anchor{658}
+@deffn {Attribute} Join = 408
+@end deffn
+
+@geindex Large (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Large}@anchor{659}
+@deffn {Attribute} Large = 409
+@end deffn
+
+@geindex Macromodule (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Macromodule}@anchor{65a}
+@deffn {Attribute} Macromodule = 410
+@end deffn
+
+@geindex Medium (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Medium}@anchor{65b}
+@deffn {Attribute} Medium = 411
+@end deffn
+
+@geindex Module (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Module}@anchor{65c}
+@deffn {Attribute} Module = 412
+@end deffn
+
+@geindex Negedge (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Negedge}@anchor{65d}
+@deffn {Attribute} Negedge = 413
+@end deffn
+
+@geindex Nmos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nmos}@anchor{65e}
+@deffn {Attribute} Nmos = 414
+@end deffn
+
+@geindex Notif0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif0}@anchor{65f}
+@deffn {Attribute} Notif0 = 415
+@end deffn
+
+@geindex Notif1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif1}@anchor{660}
+@deffn {Attribute} Notif1 = 416
+@end deffn
+
+@geindex Output (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output}@anchor{661}
+@deffn {Attribute} Output = 417
+@end deffn
+
+@geindex Pmos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pmos}@anchor{662}
+@deffn {Attribute} Pmos = 418
+@end deffn
+
+@geindex Posedge (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Posedge}@anchor{663}
+@deffn {Attribute} Posedge = 419
+@end deffn
+
+@geindex Primitive (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Primitive}@anchor{664}
+@deffn {Attribute} Primitive = 420
+@end deffn
+
+@geindex Pull0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull0}@anchor{665}
+@deffn {Attribute} Pull0 = 421
+@end deffn
+
+@geindex Pull1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull1}@anchor{666}
+@deffn {Attribute} Pull1 = 422
+@end deffn
+
+@geindex Pulldown (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pulldown}@anchor{667}
+@deffn {Attribute} Pulldown = 423
+@end deffn
+
+@geindex Pullup (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pullup}@anchor{668}
+@deffn {Attribute} Pullup = 424
+@end deffn
+
+@geindex Realtime (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Realtime}@anchor{669}
+@deffn {Attribute} Realtime = 425
+@end deffn
+
+@geindex Reg (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reg}@anchor{66a}
+@deffn {Attribute} Reg = 426
+@end deffn
+
+@geindex Repeat (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Repeat}@anchor{66b}
+@deffn {Attribute} Repeat = 427
+@end deffn
+
+@geindex Rcmos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rcmos}@anchor{66c}
+@deffn {Attribute} Rcmos = 428
+@end deffn
+
+@geindex Rnmos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rnmos}@anchor{66d}
+@deffn {Attribute} Rnmos = 429
+@end deffn
+
+@geindex Rpmos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rpmos}@anchor{66e}
+@deffn {Attribute} Rpmos = 430
+@end deffn
+
+@geindex Rtran (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtran}@anchor{66f}
+@deffn {Attribute} Rtran = 431
+@end deffn
+
+@geindex Rtranif0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif0}@anchor{670}
+@deffn {Attribute} Rtranif0 = 432
+@end deffn
+
+@geindex Rtranif1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif1}@anchor{671}
+@deffn {Attribute} Rtranif1 = 433
+@end deffn
+
+@geindex Scalared (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Scalared}@anchor{672}
+@deffn {Attribute} Scalared = 434
+@end deffn
+
+@geindex Small (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Small}@anchor{673}
+@deffn {Attribute} Small = 435
+@end deffn
+
+@geindex Specify (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specify}@anchor{674}
+@deffn {Attribute} Specify = 436
+@end deffn
+
+@geindex Specparam (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specparam}@anchor{675}
+@deffn {Attribute} Specparam = 437
+@end deffn
+
+@geindex Strong0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong0}@anchor{676}
+@deffn {Attribute} Strong0 = 438
+@end deffn
+
+@geindex Strong1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong1}@anchor{677}
+@deffn {Attribute} Strong1 = 439
+@end deffn
+
+@geindex Supply0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply0}@anchor{678}
+@deffn {Attribute} Supply0 = 440
+@end deffn
+
+@geindex Supply1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply1}@anchor{679}
+@deffn {Attribute} Supply1 = 441
+@end deffn
+
+@geindex Tablex (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tablex}@anchor{67a}
+@deffn {Attribute} Tablex = 442
+@end deffn
+
+@geindex Task (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Task}@anchor{67b}
+@deffn {Attribute} Task = 443
+@end deffn
+
+@geindex Tran (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tran}@anchor{67c}
+@deffn {Attribute} Tran = 444
+@end deffn
+
+@geindex Tranif0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif0}@anchor{67d}
+@deffn {Attribute} Tranif0 = 445
+@end deffn
+
+@geindex Tranif1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif1}@anchor{67e}
+@deffn {Attribute} Tranif1 = 446
+@end deffn
+
+@geindex Tri (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri}@anchor{67f}
+@deffn {Attribute} Tri = 447
+@end deffn
+
+@geindex Tri0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri0}@anchor{680}
+@deffn {Attribute} Tri0 = 448
+@end deffn
+
+@geindex Tri1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri1}@anchor{681}
+@deffn {Attribute} Tri1 = 449
+@end deffn
+
+@geindex Triand (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Triand}@anchor{682}
+@deffn {Attribute} Triand = 450
+@end deffn
+
+@geindex Trior (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trior}@anchor{683}
+@deffn {Attribute} Trior = 451
+@end deffn
+
+@geindex Trireg (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trireg}@anchor{684}
+@deffn {Attribute} Trireg = 452
+@end deffn
+
+@geindex Vectored (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vectored}@anchor{685}
+@deffn {Attribute} Vectored = 453
+@end deffn
+
+@geindex Wand (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wand}@anchor{686}
+@deffn {Attribute} Wand = 454
+@end deffn
+
+@geindex Weak0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak0}@anchor{687}
+@deffn {Attribute} Weak0 = 455
+@end deffn
+
+@geindex Weak1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak1}@anchor{688}
+@deffn {Attribute} Weak1 = 456
+@end deffn
+
+@geindex Wire (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wire}@anchor{689}
+@deffn {Attribute} Wire = 457
+@end deffn
+
+@geindex Wor (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wor}@anchor{68a}
+@deffn {Attribute} Wor = 458
+@end deffn
+
+@geindex Last_Verilog (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Verilog}@anchor{68b}
+@deffn {Attribute} Last_Verilog = 458
+@end deffn
+
+@geindex First_V2001 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_V2001}@anchor{68c}
+@deffn {Attribute} First_V2001 = 459
+@end deffn
+
+@geindex Automatic (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Automatic}@anchor{68d}
+@deffn {Attribute} Automatic = 459
+@end deffn
+
+@geindex Endgenerate (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgenerate}@anchor{68e}
+@deffn {Attribute} Endgenerate = 460
+@end deffn
+
+@geindex Genvar (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Genvar}@anchor{68f}
+@deffn {Attribute} Genvar = 461
+@end deffn
+
+@geindex Localparam (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Localparam}@anchor{690}
+@deffn {Attribute} Localparam = 462
+@end deffn
+
+@geindex Unsigned (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unsigned}@anchor{691}
+@deffn {Attribute} Unsigned = 463
+@end deffn
+
+@geindex Signed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signed}@anchor{692}
+@deffn {Attribute} Signed = 464
+@end deffn
+
+@geindex Last_V2001 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_V2001}@anchor{693}
+@deffn {Attribute} Last_V2001 = 464
+@end deffn
+
+@geindex Uwire (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uwire}@anchor{694}
+@deffn {Attribute} Uwire = 465
+@end deffn
+
+@geindex First_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_0}@anchor{695}
+@deffn {Attribute} First_SV3_0 = 466
+@end deffn
+
+@geindex Always_Comb (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Comb}@anchor{696}
+@deffn {Attribute} Always_Comb = 466
+@end deffn
+
+@geindex Always_Ff (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Ff}@anchor{697}
+@deffn {Attribute} Always_Ff = 467
+@end deffn
+
+@geindex Always_Latch (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Latch}@anchor{698}
+@deffn {Attribute} Always_Latch = 468
+@end deffn
+
+@geindex Bit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit}@anchor{699}
+@deffn {Attribute} Bit = 469
+@end deffn
+
+@geindex Byte (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Byte}@anchor{69a}
+@deffn {Attribute} Byte = 470
+@end deffn
+
+@geindex Changed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Changed}@anchor{69b}
+@deffn {Attribute} Changed = 471
+@end deffn
+
+@geindex Char (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Char}@anchor{69c}
+@deffn {Attribute} Char = 472
+@end deffn
+
+@geindex Const (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Const}@anchor{69d}
+@deffn {Attribute} Const = 473
+@end deffn
+
+@geindex Continue (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Continue}@anchor{69e}
+@deffn {Attribute} Continue = 474
+@end deffn
+
+@geindex Do (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Do}@anchor{69f}
+@deffn {Attribute} Do = 475
+@end deffn
+
+@geindex Endinterface (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinterface}@anchor{6a0}
+@deffn {Attribute} Endinterface = 476
+@end deffn
+
+@geindex Endtransition (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtransition}@anchor{6a1}
+@deffn {Attribute} Endtransition = 477
+@end deffn
+
+@geindex Enum (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enum}@anchor{6a2}
+@deffn {Attribute} Enum = 478
+@end deffn
+
+@geindex Export (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Export}@anchor{6a3}
+@deffn {Attribute} Export = 479
+@end deffn
+
+@geindex Extern (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extern}@anchor{6a4}
+@deffn {Attribute} Extern = 480
+@end deffn
+
+@geindex Forkjoin (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forkjoin}@anchor{6a5}
+@deffn {Attribute} Forkjoin = 481
+@end deffn
+
+@geindex Iff (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Iff}@anchor{6a6}
+@deffn {Attribute} Iff = 482
+@end deffn
+
+@geindex Import (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Import}@anchor{6a7}
+@deffn {Attribute} Import = 483
+@end deffn
+
+@geindex Int (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Int}@anchor{6a8}
+@deffn {Attribute} Int = 484
+@end deffn
+
+@geindex Interface (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Interface}@anchor{6a9}
+@deffn {Attribute} Interface = 485
+@end deffn
+
+@geindex Logic (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Logic}@anchor{6aa}
+@deffn {Attribute} Logic = 486
+@end deffn
+
+@geindex Longint (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longint}@anchor{6ab}
+@deffn {Attribute} Longint = 487
+@end deffn
+
+@geindex Longreal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longreal}@anchor{6ac}
+@deffn {Attribute} Longreal = 488
+@end deffn
+
+@geindex Modport (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Modport}@anchor{6ad}
+@deffn {Attribute} Modport = 489
+@end deffn
+
+@geindex Packed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Packed}@anchor{6ae}
+@deffn {Attribute} Packed = 490
+@end deffn
+
+@geindex Priority (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Priority}@anchor{6af}
+@deffn {Attribute} Priority = 491
+@end deffn
+
+@geindex Shortint (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortint}@anchor{6b0}
+@deffn {Attribute} Shortint = 492
+@end deffn
+
+@geindex Shortreal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortreal}@anchor{6b1}
+@deffn {Attribute} Shortreal = 493
+@end deffn
+
+@geindex Static (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Static}@anchor{6b2}
+@deffn {Attribute} Static = 494
+@end deffn
+
+@geindex Struct (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Struct}@anchor{6b3}
+@deffn {Attribute} Struct = 495
+@end deffn
+
+@geindex Timeprecision (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeprecision}@anchor{6b4}
+@deffn {Attribute} Timeprecision = 496
+@end deffn
+
+@geindex Timeunit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeunit}@anchor{6b5}
+@deffn {Attribute} Timeunit = 497
+@end deffn
+
+@geindex Transition (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transition}@anchor{6b6}
+@deffn {Attribute} Transition = 498
+@end deffn
+
+@geindex Typedef (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typedef}@anchor{6b7}
+@deffn {Attribute} Typedef = 499
+@end deffn
+
+@geindex Union (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Union}@anchor{6b8}
+@deffn {Attribute} Union = 500
+@end deffn
+
+@geindex Unique (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique}@anchor{6b9}
+@deffn {Attribute} Unique = 501
+@end deffn
+
+@geindex Unique0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique0}@anchor{6ba}
+@deffn {Attribute} Unique0 = 502
+@end deffn
+
+@geindex Void (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Void}@anchor{6bb}
+@deffn {Attribute} Void = 503
+@end deffn
+
+@geindex Last_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_0}@anchor{6bc}
+@deffn {Attribute} Last_SV3_0 = 503
+@end deffn
+
+@geindex First_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1}@anchor{6bd}
+@deffn {Attribute} First_SV3_1 = 504
+@end deffn
+
+@geindex Chandle (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Chandle}@anchor{6be}
+@deffn {Attribute} Chandle = 504
+@end deffn
+
+@geindex Class (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Class}@anchor{6bf}
+@deffn {Attribute} Class = 505
+@end deffn
+
+@geindex Clocking (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocking}@anchor{6c0}
+@deffn {Attribute} Clocking = 506
+@end deffn
+
+@geindex Constraint (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constraint}@anchor{6c1}
+@deffn {Attribute} Constraint = 507
+@end deffn
+
+@geindex Dist (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dist}@anchor{6c2}
+@deffn {Attribute} Dist = 508
+@end deffn
+
+@geindex Endclass (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclass}@anchor{6c3}
+@deffn {Attribute} Endclass = 509
+@end deffn
+
+@geindex Endclocking (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclocking}@anchor{6c4}
+@deffn {Attribute} Endclocking = 510
+@end deffn
+
+@geindex Endprogram (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprogram}@anchor{6c5}
+@deffn {Attribute} Endprogram = 511
+@end deffn
+
+@geindex Endproperty (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endproperty}@anchor{6c6}
+@deffn {Attribute} Endproperty = 512
+@end deffn
+
+@geindex Endsequence (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endsequence}@anchor{6c7}
+@deffn {Attribute} Endsequence = 513
+@end deffn
+
+@geindex Extends (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extends}@anchor{6c8}
+@deffn {Attribute} Extends = 514
+@end deffn
+
+@geindex Final (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Final}@anchor{6c9}
+@deffn {Attribute} Final = 515
+@end deffn
+
+@geindex First_Match (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Match}@anchor{6ca}
+@deffn {Attribute} First_Match = 516
+@end deffn
+
+@geindex Inside (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inside}@anchor{6cb}
+@deffn {Attribute} Inside = 517
+@end deffn
+
+@geindex Intersect (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Intersect}@anchor{6cc}
+@deffn {Attribute} Intersect = 518
+@end deffn
+
+@geindex Join_Any (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_Any}@anchor{6cd}
+@deffn {Attribute} Join_Any = 519
+@end deffn
+
+@geindex Join_None (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_None}@anchor{6ce}
+@deffn {Attribute} Join_None = 520
+@end deffn
+
+@geindex Local (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Local}@anchor{6cf}
+@deffn {Attribute} Local = 521
+@end deffn
+
+@geindex Program (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Program}@anchor{6d0}
+@deffn {Attribute} Program = 522
+@end deffn
+
+@geindex Rand (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rand}@anchor{6d1}
+@deffn {Attribute} Rand = 523
+@end deffn
+
+@geindex Randc (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randc}@anchor{6d2}
+@deffn {Attribute} Randc = 524
+@end deffn
+
+@geindex Ref (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ref}@anchor{6d3}
+@deffn {Attribute} Ref = 525
+@end deffn
+
+@geindex Solve (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Solve}@anchor{6d4}
+@deffn {Attribute} Solve = 526
+@end deffn
+
+@geindex String (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name String}@anchor{6d5}
+@deffn {Attribute} String = 527
+@end deffn
+
+@geindex Super (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Super}@anchor{6d6}
+@deffn {Attribute} Super = 528
+@end deffn
+
+@geindex This (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name This}@anchor{6d7}
+@deffn {Attribute} This = 529
+@end deffn
+
+@geindex Throughout (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Throughout}@anchor{6d8}
+@deffn {Attribute} Throughout = 530
+@end deffn
+
+@geindex Var (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Var}@anchor{6d9}
+@deffn {Attribute} Var = 531
+@end deffn
+
+@geindex Virtual (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Virtual}@anchor{6da}
+@deffn {Attribute} Virtual = 532
+@end deffn
+
+@geindex Wait_Order (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait_Order}@anchor{6db}
+@deffn {Attribute} Wait_Order = 533
+@end deffn
+
+@geindex Last_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1}@anchor{6dc}
+@deffn {Attribute} Last_SV3_1 = 533
+@end deffn
+
+@geindex First_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1a}@anchor{6dd}
+@deffn {Attribute} First_SV3_1a = 534
+@end deffn
+
+@geindex Covergroup (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Covergroup}@anchor{6de}
+@deffn {Attribute} Covergroup = 534
+@end deffn
+
+@geindex Coverpoint (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Coverpoint}@anchor{6df}
+@deffn {Attribute} Coverpoint = 535
+@end deffn
+
+@geindex Endgroup (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgroup}@anchor{6e0}
+@deffn {Attribute} Endgroup = 536
+@end deffn
+
+@geindex Endpackage (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpackage}@anchor{6e1}
+@deffn {Attribute} Endpackage = 537
+@end deffn
+
+@geindex Expect (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Expect}@anchor{6e2}
+@deffn {Attribute} Expect = 538
+@end deffn
+
+@geindex Foreach (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreach}@anchor{6e3}
+@deffn {Attribute} Foreach = 539
+@end deffn
+
+@geindex Ignore_Bins (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ignore_Bins}@anchor{6e4}
+@deffn {Attribute} Ignore_Bins = 540
+@end deffn
+
+@geindex Illegal_Bins (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Illegal_Bins}@anchor{6e5}
+@deffn {Attribute} Illegal_Bins = 541
+@end deffn
+
+@geindex Matches (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Matches}@anchor{6e6}
+@deffn {Attribute} Matches = 542
+@end deffn
+
+@geindex Randcase (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randcase}@anchor{6e7}
+@deffn {Attribute} Randcase = 543
+@end deffn
+
+@geindex Randsequence (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randsequence}@anchor{6e8}
+@deffn {Attribute} Randsequence = 544
+@end deffn
+
+@geindex Tagged (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tagged}@anchor{6e9}
+@deffn {Attribute} Tagged = 545
+@end deffn
+
+@geindex Wildcard (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wildcard}@anchor{6ea}
+@deffn {Attribute} Wildcard = 546
+@end deffn
+
+@geindex Last_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1a}@anchor{6eb}
+@deffn {Attribute} Last_SV3_1a = 546
+@end deffn
+
+@geindex First_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV2009}@anchor{6ec}
+@deffn {Attribute} First_SV2009 = 547
+@end deffn
+
+@geindex Implies (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Implies}@anchor{6ed}
+@deffn {Attribute} Implies = 547
+@end deffn
+
+@geindex S_Until (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until}@anchor{6ee}
+@deffn {Attribute} S_Until = 548
+@end deffn
+
+@geindex S_Until_With (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until_With}@anchor{6ef}
+@deffn {Attribute} S_Until_With = 549
+@end deffn
+
+@geindex Until_With (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until_With}@anchor{6f0}
+@deffn {Attribute} Until_With = 550
+@end deffn
+
+@geindex Last_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV2009}@anchor{6f1}
+@deffn {Attribute} Last_SV2009 = 550
+@end deffn
+
+@geindex First_Operator (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Operator}@anchor{6f2}
+@deffn {Attribute} First_Operator = 551
+@end deffn
+
+@geindex Op_Equality (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Equality}@anchor{6f3}
+@deffn {Attribute} Op_Equality = 551
+@end deffn
+
+@geindex Op_Inequality (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Inequality}@anchor{6f4}
+@deffn {Attribute} Op_Inequality = 552
+@end deffn
+
+@geindex Op_Less (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less}@anchor{6f5}
+@deffn {Attribute} Op_Less = 553
+@end deffn
+
+@geindex Op_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less_Equal}@anchor{6f6}
+@deffn {Attribute} Op_Less_Equal = 554
+@end deffn
+
+@geindex Op_Greater (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater}@anchor{6f7}
+@deffn {Attribute} Op_Greater = 555
+@end deffn
+
+@geindex Op_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater_Equal}@anchor{6f8}
+@deffn {Attribute} Op_Greater_Equal = 556
+@end deffn
+
+@geindex Op_Plus (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Plus}@anchor{6f9}
+@deffn {Attribute} Op_Plus = 557
+@end deffn
+
+@geindex Op_Minus (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Minus}@anchor{6fa}
+@deffn {Attribute} Op_Minus = 558
+@end deffn
+
+@geindex Op_Mul (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Mul}@anchor{6fb}
+@deffn {Attribute} Op_Mul = 559
+@end deffn
+
+@geindex Op_Div (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Div}@anchor{6fc}
+@deffn {Attribute} Op_Div = 560
+@end deffn
+
+@geindex Op_Exp (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Exp}@anchor{6fd}
+@deffn {Attribute} Op_Exp = 561
+@end deffn
+
+@geindex Op_Concatenation (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Concatenation}@anchor{6fe}
+@deffn {Attribute} Op_Concatenation = 562
+@end deffn
+
+@geindex Op_Condition (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Condition}@anchor{6ff}
+@deffn {Attribute} Op_Condition = 563
+@end deffn
+
+@geindex Op_Match_Equality (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Equality}@anchor{700}
+@deffn {Attribute} Op_Match_Equality = 564
+@end deffn
+
+@geindex Op_Match_Inequality (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Inequality}@anchor{701}
+@deffn {Attribute} Op_Match_Inequality = 565
+@end deffn
+
+@geindex Op_Match_Less (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less}@anchor{702}
+@deffn {Attribute} Op_Match_Less = 566
+@end deffn
+
+@geindex Op_Match_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less_Equal}@anchor{703}
+@deffn {Attribute} Op_Match_Less_Equal = 567
+@end deffn
+
+@geindex Op_Match_Greater (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater}@anchor{704}
+@deffn {Attribute} Op_Match_Greater = 568
+@end deffn
+
+@geindex Op_Match_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater_Equal}@anchor{705}
+@deffn {Attribute} Op_Match_Greater_Equal = 569
+@end deffn
+
+@geindex Last_Operator (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Operator}@anchor{706}
+@deffn {Attribute} Last_Operator = 569
+@end deffn
+
+@geindex First_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Attribute}@anchor{707}
+@deffn {Attribute} First_Attribute = 570
+@end deffn
+
+@geindex Base (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Base}@anchor{708}
+@deffn {Attribute} Base = 570
+@end deffn
+
+@geindex Left (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Left}@anchor{709}
+@deffn {Attribute} Left = 571
+@end deffn
+
+@geindex Right (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Right}@anchor{70a}
+@deffn {Attribute} Right = 572
+@end deffn
+
+@geindex High (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name High}@anchor{70b}
+@deffn {Attribute} High = 573
+@end deffn
+
+@geindex Low (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Low}@anchor{70c}
+@deffn {Attribute} Low = 574
+@end deffn
+
+@geindex Pos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pos}@anchor{70d}
+@deffn {Attribute} Pos = 575
+@end deffn
+
+@geindex Val (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Val}@anchor{70e}
+@deffn {Attribute} Val = 576
+@end deffn
+
+@geindex Succ (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Succ}@anchor{70f}
+@deffn {Attribute} Succ = 577
+@end deffn
+
+@geindex Pred (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pred}@anchor{710}
+@deffn {Attribute} Pred = 578
+@end deffn
+
+@geindex Leftof (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Leftof}@anchor{711}
+@deffn {Attribute} Leftof = 579
+@end deffn
+
+@geindex Rightof (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rightof}@anchor{712}
+@deffn {Attribute} Rightof = 580
+@end deffn
+
+@geindex Reverse_Range (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reverse_Range}@anchor{713}
+@deffn {Attribute} Reverse_Range = 581
+@end deffn
+
+@geindex Length (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Length}@anchor{714}
+@deffn {Attribute} Length = 582
+@end deffn
+
+@geindex Delayed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delayed}@anchor{715}
+@deffn {Attribute} Delayed = 583
+@end deffn
+
+@geindex Stable (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stable}@anchor{716}
+@deffn {Attribute} Stable = 584
+@end deffn
+
+@geindex Quiet (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiet}@anchor{717}
+@deffn {Attribute} Quiet = 585
+@end deffn
+
+@geindex Transaction (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transaction}@anchor{718}
+@deffn {Attribute} Transaction = 586
+@end deffn
+
+@geindex Event (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Event}@anchor{719}
+@deffn {Attribute} Event = 587
+@end deffn
+
+@geindex Active (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Active}@anchor{71a}
+@deffn {Attribute} Active = 588
+@end deffn
+
+@geindex Last_Event (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Event}@anchor{71b}
+@deffn {Attribute} Last_Event = 589
+@end deffn
+
+@geindex Last_Active (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Active}@anchor{71c}
+@deffn {Attribute} Last_Active = 590
+@end deffn
+
+@geindex Last_Value (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Value}@anchor{71d}
+@deffn {Attribute} Last_Value = 591
+@end deffn
+
+@geindex Last_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Attribute}@anchor{71e}
+@deffn {Attribute} Last_Attribute = 591
+@end deffn
+
+@geindex First_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl87_Attribute}@anchor{71f}
+@deffn {Attribute} First_Vhdl87_Attribute = 592
+@end deffn
+
+@geindex Behavior (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Behavior}@anchor{720}
+@deffn {Attribute} Behavior = 592
+@end deffn
+
+@geindex Structure (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Structure}@anchor{721}
+@deffn {Attribute} Structure = 593
+@end deffn
+
+@geindex Last_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87_Attribute}@anchor{722}
+@deffn {Attribute} Last_Vhdl87_Attribute = 593
+@end deffn
+
+@geindex First_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl93_Attribute}@anchor{723}
+@deffn {Attribute} First_Vhdl93_Attribute = 594
+@end deffn
+
+@geindex Ascending (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ascending}@anchor{724}
+@deffn {Attribute} Ascending = 594
+@end deffn
+
+@geindex Image (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Image}@anchor{725}
+@deffn {Attribute} Image = 595
+@end deffn
+
+@geindex Value (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Value}@anchor{726}
+@deffn {Attribute} Value = 596
+@end deffn
+
+@geindex Driving (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving}@anchor{727}
+@deffn {Attribute} Driving = 597
+@end deffn
+
+@geindex Driving_Value (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving_Value}@anchor{728}
+@deffn {Attribute} Driving_Value = 598
+@end deffn
+
+@geindex Simple_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Simple_Name}@anchor{729}
+@deffn {Attribute} Simple_Name = 599
+@end deffn
+
+@geindex Instance_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance_Name}@anchor{72a}
+@deffn {Attribute} Instance_Name = 600
+@end deffn
+
+@geindex Path_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path_Name}@anchor{72b}
+@deffn {Attribute} Path_Name = 601
+@end deffn
+
+@geindex Last_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93_Attribute}@anchor{72c}
+@deffn {Attribute} Last_Vhdl93_Attribute = 601
+@end deffn
+
+@geindex First_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl08_Attribute}@anchor{72d}
+@deffn {Attribute} First_Vhdl08_Attribute = 602
+@end deffn
+
+@geindex Element (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Element}@anchor{72e}
+@deffn {Attribute} Element = 602
+@end deffn
+
+@geindex Last_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08_Attribute}@anchor{72f}
+@deffn {Attribute} Last_Vhdl08_Attribute = 602
+@end deffn
+
+@geindex First_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_AMS_Attribute}@anchor{730}
+@deffn {Attribute} First_AMS_Attribute = 603
+@end deffn
+
+@geindex Contribution (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contribution}@anchor{731}
+@deffn {Attribute} Contribution = 603
+@end deffn
+
+@geindex Dot (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dot}@anchor{732}
+@deffn {Attribute} Dot = 604
+@end deffn
+
+@geindex Integ (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integ}@anchor{733}
+@deffn {Attribute} Integ = 605
+@end deffn
+
+@geindex Above (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Above}@anchor{734}
+@deffn {Attribute} Above = 606
+@end deffn
+
+@geindex Zoh (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Zoh}@anchor{735}
+@deffn {Attribute} Zoh = 607
+@end deffn
+
+@geindex Ltf (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ltf}@anchor{736}
+@deffn {Attribute} Ltf = 608
+@end deffn
+
+@geindex Ztf (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ztf}@anchor{737}
+@deffn {Attribute} Ztf = 609
+@end deffn
+
+@geindex Ramp (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ramp}@anchor{738}
+@deffn {Attribute} Ramp = 610
+@end deffn
+
+@geindex Slew (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Slew}@anchor{739}
+@deffn {Attribute} Slew = 611
+@end deffn
+
+@geindex Last_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Attribute}@anchor{73a}
+@deffn {Attribute} Last_AMS_Attribute = 611
+@end deffn
+
+@geindex First_Standard (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Standard}@anchor{73b}
+@deffn {Attribute} First_Standard = 612
+@end deffn
+
+@geindex Std (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std}@anchor{73c}
+@deffn {Attribute} Std = 612
+@end deffn
+
+@geindex Standard (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Standard}@anchor{73d}
+@deffn {Attribute} Standard = 613
+@end deffn
+
+@geindex Boolean (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean}@anchor{73e}
+@deffn {Attribute} Boolean = 614
+@end deffn
+
+@geindex NFalse (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NFalse}@anchor{73f}
+@deffn {Attribute} NFalse = 615
+@end deffn
+
+@geindex NTrue (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NTrue}@anchor{740}
+@deffn {Attribute} NTrue = 616
+@end deffn
+
+@geindex Character (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Character}@anchor{741}
+@deffn {Attribute} Character = 617
+@end deffn
+
+@geindex Severity_Level (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity_Level}@anchor{742}
+@deffn {Attribute} Severity_Level = 618
+@end deffn
+
+@geindex Note (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Note}@anchor{743}
+@deffn {Attribute} Note = 619
+@end deffn
+
+@geindex Warning (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Warning}@anchor{744}
+@deffn {Attribute} Warning = 620
+@end deffn
+
+@geindex Error (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Error}@anchor{745}
+@deffn {Attribute} Error = 621
+@end deffn
+
+@geindex Failure (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Failure}@anchor{746}
+@deffn {Attribute} Failure = 622
+@end deffn
+
+@geindex Universal_Integer (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Integer}@anchor{747}
+@deffn {Attribute} Universal_Integer = 623
+@end deffn
+
+@geindex Universal_Real (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Real}@anchor{748}
+@deffn {Attribute} Universal_Real = 624
+@end deffn
+
+@geindex Convertible_Integer (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Integer}@anchor{749}
+@deffn {Attribute} Convertible_Integer = 625
+@end deffn
+
+@geindex Convertible_Real (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Real}@anchor{74a}
+@deffn {Attribute} Convertible_Real = 626
+@end deffn
+
+@geindex Integer (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer}@anchor{74b}
+@deffn {Attribute} Integer = 627
+@end deffn
+
+@geindex Real (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real}@anchor{74c}
+@deffn {Attribute} Real = 628
+@end deffn
+
+@geindex Time (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time}@anchor{74d}
+@deffn {Attribute} Time = 629
+@end deffn
+
+@geindex Fs (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fs}@anchor{74e}
+@deffn {Attribute} Fs = 630
+@end deffn
+
+@geindex Ps (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ps}@anchor{74f}
+@deffn {Attribute} Ps = 631
+@end deffn
+
+@geindex Ns (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ns}@anchor{750}
+@deffn {Attribute} Ns = 632
+@end deffn
+
+@geindex Us (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Us}@anchor{751}
+@deffn {Attribute} Us = 633
+@end deffn
+
+@geindex Ms (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ms}@anchor{752}
+@deffn {Attribute} Ms = 634
+@end deffn
+
+@geindex Sec (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sec}@anchor{753}
+@deffn {Attribute} Sec = 635
+@end deffn
+
+@geindex Min (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Min}@anchor{754}
+@deffn {Attribute} Min = 636
+@end deffn
+
+@geindex Hr (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Hr}@anchor{755}
+@deffn {Attribute} Hr = 637
+@end deffn
+
+@geindex Max (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Max}@anchor{756}
+@deffn {Attribute} Max = 638
+@end deffn
+
+@geindex Delay_Length (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delay_Length}@anchor{757}
+@deffn {Attribute} Delay_Length = 639
+@end deffn
+
+@geindex Now (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Now}@anchor{758}
+@deffn {Attribute} Now = 640
+@end deffn
+
+@geindex Natural (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Natural}@anchor{759}
+@deffn {Attribute} Natural = 641
+@end deffn
+
+@geindex Positive (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Positive}@anchor{75a}
+@deffn {Attribute} Positive = 642
+@end deffn
+
+@geindex Bit_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit_Vector}@anchor{75b}
+@deffn {Attribute} Bit_Vector = 643
+@end deffn
+
+@geindex File_Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Kind}@anchor{75c}
+@deffn {Attribute} File_Open_Kind = 644
+@end deffn
+
+@geindex Read_Mode (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read_Mode}@anchor{75d}
+@deffn {Attribute} Read_Mode = 645
+@end deffn
+
+@geindex Write_Mode (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write_Mode}@anchor{75e}
+@deffn {Attribute} Write_Mode = 646
+@end deffn
+
+@geindex Append_Mode (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Append_Mode}@anchor{75f}
+@deffn {Attribute} Append_Mode = 647
+@end deffn
+
+@geindex File_Open_Status (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Status}@anchor{760}
+@deffn {Attribute} File_Open_Status = 648
+@end deffn
+
+@geindex Open_Ok (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Ok}@anchor{761}
+@deffn {Attribute} Open_Ok = 649
+@end deffn
+
+@geindex Status_Error (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Status_Error}@anchor{762}
+@deffn {Attribute} Status_Error = 650
+@end deffn
+
+@geindex Name_Error (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name_Error}@anchor{763}
+@deffn {Attribute} Name_Error = 651
+@end deffn
+
+@geindex Mode_Error (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mode_Error}@anchor{764}
+@deffn {Attribute} Mode_Error = 652
+@end deffn
+
+@geindex Foreign (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreign}@anchor{765}
+@deffn {Attribute} Foreign = 653
+@end deffn
+
+@geindex Boolean_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean_Vector}@anchor{766}
+@deffn {Attribute} Boolean_Vector = 654
+@end deffn
+
+@geindex To_Bstring (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bstring}@anchor{767}
+@deffn {Attribute} To_Bstring = 655
+@end deffn
+
+@geindex To_Binary_String (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Binary_String}@anchor{768}
+@deffn {Attribute} To_Binary_String = 656
+@end deffn
+
+@geindex To_Ostring (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Ostring}@anchor{769}
+@deffn {Attribute} To_Ostring = 657
+@end deffn
+
+@geindex To_Octal_String (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Octal_String}@anchor{76a}
+@deffn {Attribute} To_Octal_String = 658
+@end deffn
+
+@geindex To_Hstring (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hstring}@anchor{76b}
+@deffn {Attribute} To_Hstring = 659
+@end deffn
+
+@geindex To_Hex_String (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hex_String}@anchor{76c}
+@deffn {Attribute} To_Hex_String = 660
+@end deffn
+
+@geindex Integer_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer_Vector}@anchor{76d}
+@deffn {Attribute} Integer_Vector = 661
+@end deffn
+
+@geindex Real_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real_Vector}@anchor{76e}
+@deffn {Attribute} Real_Vector = 662
+@end deffn
+
+@geindex Time_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Vector}@anchor{76f}
+@deffn {Attribute} Time_Vector = 663
+@end deffn
+
+@geindex Digits (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Digits}@anchor{770}
+@deffn {Attribute} Digits = 664
+@end deffn
+
+@geindex Format (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Format}@anchor{771}
+@deffn {Attribute} Format = 665
+@end deffn
+
+@geindex Unit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unit}@anchor{772}
+@deffn {Attribute} Unit = 666
+@end deffn
+
+@geindex Domain_Type (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain_Type}@anchor{773}
+@deffn {Attribute} Domain_Type = 667
+@end deffn
+
+@geindex Quiescent_Domain (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiescent_Domain}@anchor{774}
+@deffn {Attribute} Quiescent_Domain = 668
+@end deffn
+
+@geindex Time_Domain (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Domain}@anchor{775}
+@deffn {Attribute} Time_Domain = 669
+@end deffn
+
+@geindex Frequency_Domain (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency_Domain}@anchor{776}
+@deffn {Attribute} Frequency_Domain = 670
+@end deffn
+
+@geindex Domain (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain}@anchor{777}
+@deffn {Attribute} Domain = 671
+@end deffn
+
+@geindex Frequency (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency}@anchor{778}
+@deffn {Attribute} Frequency = 672
+@end deffn
+
+@geindex Last_Standard (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Standard}@anchor{779}
+@deffn {Attribute} Last_Standard = 672
+@end deffn
+
+@geindex First_Charname (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Charname}@anchor{77a}
+@deffn {Attribute} First_Charname = 673
+@end deffn
+
+@geindex Nul (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nul}@anchor{77b}
+@deffn {Attribute} Nul = 673
+@end deffn
+
+@geindex Soh (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Soh}@anchor{77c}
+@deffn {Attribute} Soh = 674
+@end deffn
+
+@geindex Stx (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stx}@anchor{77d}
+@deffn {Attribute} Stx = 675
+@end deffn
+
+@geindex Etx (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etx}@anchor{77e}
+@deffn {Attribute} Etx = 676
+@end deffn
+
+@geindex Eot (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eot}@anchor{77f}
+@deffn {Attribute} Eot = 677
+@end deffn
+
+@geindex Enq (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enq}@anchor{780}
+@deffn {Attribute} Enq = 678
+@end deffn
+
+@geindex Ack (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ack}@anchor{781}
+@deffn {Attribute} Ack = 679
+@end deffn
+
+@geindex Bel (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bel}@anchor{782}
+@deffn {Attribute} Bel = 680
+@end deffn
+
+@geindex Bs (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bs}@anchor{783}
+@deffn {Attribute} Bs = 681
+@end deffn
+
+@geindex Ht (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ht}@anchor{784}
+@deffn {Attribute} Ht = 682
+@end deffn
+
+@geindex Lf (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Lf}@anchor{785}
+@deffn {Attribute} Lf = 683
+@end deffn
+
+@geindex Vt (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vt}@anchor{786}
+@deffn {Attribute} Vt = 684
+@end deffn
+
+@geindex Ff (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ff}@anchor{787}
+@deffn {Attribute} Ff = 685
+@end deffn
+
+@geindex Cr (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cr}@anchor{788}
+@deffn {Attribute} Cr = 686
+@end deffn
+
+@geindex So (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name So}@anchor{789}
+@deffn {Attribute} So = 687
+@end deffn
+
+@geindex Si (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Si}@anchor{78a}
+@deffn {Attribute} Si = 688
+@end deffn
+
+@geindex Dle (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dle}@anchor{78b}
+@deffn {Attribute} Dle = 689
+@end deffn
+
+@geindex Dc1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc1}@anchor{78c}
+@deffn {Attribute} Dc1 = 690
+@end deffn
+
+@geindex Dc2 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc2}@anchor{78d}
+@deffn {Attribute} Dc2 = 691
+@end deffn
+
+@geindex Dc3 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc3}@anchor{78e}
+@deffn {Attribute} Dc3 = 692
+@end deffn
+
+@geindex Dc4 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc4}@anchor{78f}
+@deffn {Attribute} Dc4 = 693
+@end deffn
+
+@geindex Nak (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nak}@anchor{790}
+@deffn {Attribute} Nak = 694
+@end deffn
+
+@geindex Syn (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn}@anchor{791}
+@deffn {Attribute} Syn = 695
+@end deffn
+
+@geindex Etb (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etb}@anchor{792}
+@deffn {Attribute} Etb = 696
+@end deffn
+
+@geindex Can (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Can}@anchor{793}
+@deffn {Attribute} Can = 697
+@end deffn
+
+@geindex Em (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Em}@anchor{794}
+@deffn {Attribute} Em = 698
+@end deffn
+
+@geindex Sub (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sub}@anchor{795}
+@deffn {Attribute} Sub = 699
+@end deffn
+
+@geindex Esc (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Esc}@anchor{796}
+@deffn {Attribute} Esc = 700
+@end deffn
+
+@geindex Fsp (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fsp}@anchor{797}
+@deffn {Attribute} Fsp = 701
+@end deffn
+
+@geindex Gsp (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gsp}@anchor{798}
+@deffn {Attribute} Gsp = 702
+@end deffn
+
+@geindex Rsp (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rsp}@anchor{799}
+@deffn {Attribute} Rsp = 703
+@end deffn
+
+@geindex Usp (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Usp}@anchor{79a}
+@deffn {Attribute} Usp = 704
+@end deffn
+
+@geindex Del (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Del}@anchor{79b}
+@deffn {Attribute} Del = 705
+@end deffn
+
+@geindex C128 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C128}@anchor{79c}
+@deffn {Attribute} C128 = 706
+@end deffn
+
+@geindex C129 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C129}@anchor{79d}
+@deffn {Attribute} C129 = 707
+@end deffn
+
+@geindex C130 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C130}@anchor{79e}
+@deffn {Attribute} C130 = 708
+@end deffn
+
+@geindex C131 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C131}@anchor{79f}
+@deffn {Attribute} C131 = 709
+@end deffn
+
+@geindex C132 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C132}@anchor{7a0}
+@deffn {Attribute} C132 = 710
+@end deffn
+
+@geindex C133 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C133}@anchor{7a1}
+@deffn {Attribute} C133 = 711
+@end deffn
+
+@geindex C134 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C134}@anchor{7a2}
+@deffn {Attribute} C134 = 712
+@end deffn
+
+@geindex C135 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C135}@anchor{7a3}
+@deffn {Attribute} C135 = 713
+@end deffn
+
+@geindex C136 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C136}@anchor{7a4}
+@deffn {Attribute} C136 = 714
+@end deffn
+
+@geindex C137 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C137}@anchor{7a5}
+@deffn {Attribute} C137 = 715
+@end deffn
+
+@geindex C138 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C138}@anchor{7a6}
+@deffn {Attribute} C138 = 716
+@end deffn
+
+@geindex C139 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C139}@anchor{7a7}
+@deffn {Attribute} C139 = 717
+@end deffn
+
+@geindex C140 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C140}@anchor{7a8}
+@deffn {Attribute} C140 = 718
+@end deffn
+
+@geindex C141 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C141}@anchor{7a9}
+@deffn {Attribute} C141 = 719
+@end deffn
+
+@geindex C142 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C142}@anchor{7aa}
+@deffn {Attribute} C142 = 720
+@end deffn
+
+@geindex C143 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C143}@anchor{7ab}
+@deffn {Attribute} C143 = 721
+@end deffn
+
+@geindex C144 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C144}@anchor{7ac}
+@deffn {Attribute} C144 = 722
+@end deffn
+
+@geindex C145 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C145}@anchor{7ad}
+@deffn {Attribute} C145 = 723
+@end deffn
+
+@geindex C146 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C146}@anchor{7ae}
+@deffn {Attribute} C146 = 724
+@end deffn
+
+@geindex C147 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C147}@anchor{7af}
+@deffn {Attribute} C147 = 725
+@end deffn
+
+@geindex C148 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C148}@anchor{7b0}
+@deffn {Attribute} C148 = 726
+@end deffn
+
+@geindex C149 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C149}@anchor{7b1}
+@deffn {Attribute} C149 = 727
+@end deffn
+
+@geindex C150 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C150}@anchor{7b2}
+@deffn {Attribute} C150 = 728
+@end deffn
+
+@geindex C151 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C151}@anchor{7b3}
+@deffn {Attribute} C151 = 729
+@end deffn
+
+@geindex C152 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C152}@anchor{7b4}
+@deffn {Attribute} C152 = 730
+@end deffn
+
+@geindex C153 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C153}@anchor{7b5}
+@deffn {Attribute} C153 = 731
+@end deffn
+
+@geindex C154 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C154}@anchor{7b6}
+@deffn {Attribute} C154 = 732
+@end deffn
+
+@geindex C155 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C155}@anchor{7b7}
+@deffn {Attribute} C155 = 733
+@end deffn
+
+@geindex C156 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C156}@anchor{7b8}
+@deffn {Attribute} C156 = 734
+@end deffn
+
+@geindex C157 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C157}@anchor{7b9}
+@deffn {Attribute} C157 = 735
+@end deffn
+
+@geindex C158 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C158}@anchor{7ba}
+@deffn {Attribute} C158 = 736
+@end deffn
+
+@geindex C159 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C159}@anchor{7bb}
+@deffn {Attribute} C159 = 737
+@end deffn
+
+@geindex Last_Charname (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Charname}@anchor{7bc}
+@deffn {Attribute} Last_Charname = 737
+@end deffn
+
+@geindex First_Misc (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Misc}@anchor{7bd}
+@deffn {Attribute} First_Misc = 738
+@end deffn
+
+@geindex Guard (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guard}@anchor{7be}
+@deffn {Attribute} Guard = 738
+@end deffn
+
+@geindex Deallocate (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deallocate}@anchor{7bf}
+@deffn {Attribute} Deallocate = 739
+@end deffn
+
+@geindex File_Open (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open}@anchor{7c0}
+@deffn {Attribute} File_Open = 740
+@end deffn
+
+@geindex File_Close (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Close}@anchor{7c1}
+@deffn {Attribute} File_Close = 741
+@end deffn
+
+@geindex Read (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read}@anchor{7c2}
+@deffn {Attribute} Read = 742
+@end deffn
+
+@geindex Write (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write}@anchor{7c3}
+@deffn {Attribute} Write = 743
+@end deffn
+
+@geindex Flush (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Flush}@anchor{7c4}
+@deffn {Attribute} Flush = 744
+@end deffn
+
+@geindex Endfile (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfile}@anchor{7c5}
+@deffn {Attribute} Endfile = 745
+@end deffn
+
+@geindex I (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name I}@anchor{7c6}
+@deffn {Attribute} I = 746
+@end deffn
+
+@geindex J (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name J}@anchor{7c7}
+@deffn {Attribute} J = 747
+@end deffn
+
+@geindex F (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name F}@anchor{7c8}
+@deffn {Attribute} F = 748
+@end deffn
+
+@geindex L (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name L}@anchor{7c9}
+@deffn {Attribute} L = 749
+@end deffn
+
+@geindex P (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name P}@anchor{7ca}
+@deffn {Attribute} P = 750
+@end deffn
+
+@geindex R (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name R}@anchor{7cb}
+@deffn {Attribute} R = 751
+@end deffn
+
+@geindex S (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S}@anchor{7cc}
+@deffn {Attribute} S = 752
+@end deffn
+
+@geindex V (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name V}@anchor{7cd}
+@deffn {Attribute} V = 753
+@end deffn
+
+@geindex External_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name External_Name}@anchor{7ce}
+@deffn {Attribute} External_Name = 754
+@end deffn
+
+@geindex Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Kind}@anchor{7cf}
+@deffn {Attribute} Open_Kind = 755
+@end deffn
+
+@geindex First (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First}@anchor{7d0}
+@deffn {Attribute} First = 756
+@end deffn
+
+@geindex Last (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last}@anchor{7d1}
+@deffn {Attribute} Last = 757
+@end deffn
+
+@geindex Textio (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio}@anchor{7d2}
+@deffn {Attribute} Textio = 758
+@end deffn
+
+@geindex Work (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Work}@anchor{7d3}
+@deffn {Attribute} Work = 759
+@end deffn
+
+@geindex Text (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Text}@anchor{7d4}
+@deffn {Attribute} Text = 760
+@end deffn
+
+@geindex To_String (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_String}@anchor{7d5}
+@deffn {Attribute} To_String = 761
+@end deffn
+
+@geindex Minimum (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Minimum}@anchor{7d6}
+@deffn {Attribute} Minimum = 762
+@end deffn
+
+@geindex Maximum (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Maximum}@anchor{7d7}
+@deffn {Attribute} Maximum = 763
+@end deffn
+
+@geindex Untruncated_Text_Read (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Untruncated_Text_Read}@anchor{7d8}
+@deffn {Attribute} Untruncated_Text_Read = 764
+@end deffn
+
+@geindex Textio_Read_Real (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Read_Real}@anchor{7d9}
+@deffn {Attribute} Textio_Read_Real = 765
+@end deffn
+
+@geindex Textio_Write_Real (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Write_Real}@anchor{7da}
+@deffn {Attribute} Textio_Write_Real = 766
+@end deffn
+
+@geindex Get_Resolution_Limit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Resolution_Limit}@anchor{7db}
+@deffn {Attribute} Get_Resolution_Limit = 767
+@end deffn
+
+@geindex Control_Simulation (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Control_Simulation}@anchor{7dc}
+@deffn {Attribute} Control_Simulation = 768
+@end deffn
+
+@geindex Step (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Step}@anchor{7dd}
+@deffn {Attribute} Step = 769
+@end deffn
+
+@geindex Index (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Index}@anchor{7de}
+@deffn {Attribute} Index = 770
+@end deffn
+
+@geindex Item (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Item}@anchor{7df}
+@deffn {Attribute} Item = 771
+@end deffn
+
+@geindex Uu_File_Uu (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_File_Uu}@anchor{7e0}
+@deffn {Attribute} Uu_File_Uu = 772
+@end deffn
+
+@geindex Uu_Line_Uu (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_Line_Uu}@anchor{7e1}
+@deffn {Attribute} Uu_Line_Uu = 773
+@end deffn
+
+@geindex Label_Applies_To (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label_Applies_To}@anchor{7e2}
+@deffn {Attribute} Label_Applies_To = 774
+@end deffn
+
+@geindex Return_Port_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return_Port_Name}@anchor{7e3}
+@deffn {Attribute} Return_Port_Name = 775
+@end deffn
+
+@geindex Map_To_Operator (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map_To_Operator}@anchor{7e4}
+@deffn {Attribute} Map_To_Operator = 776
+@end deffn
+
+@geindex Type_Function (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type_Function}@anchor{7e5}
+@deffn {Attribute} Type_Function = 777
+@end deffn
+
+@geindex Built_In (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Built_In}@anchor{7e6}
+@deffn {Attribute} Built_In = 778
+@end deffn
+
+@geindex NNone (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NNone}@anchor{7e7}
+@deffn {Attribute} NNone = 779
+@end deffn
+
+@geindex Last_Misc (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Misc}@anchor{7e8}
+@deffn {Attribute} Last_Misc = 779
+@end deffn
+
+@geindex First_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Pkg}@anchor{7e9}
+@deffn {Attribute} First_Ieee_Pkg = 780
+@end deffn
+
+@geindex Ieee (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ieee}@anchor{7ea}
+@deffn {Attribute} Ieee = 780
+@end deffn
+
+@geindex Std_Logic_1164 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_1164}@anchor{7eb}
+@deffn {Attribute} Std_Logic_1164 = 781
+@end deffn
+
+@geindex VITAL_Timing (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Timing}@anchor{7ec}
+@deffn {Attribute} VITAL_Timing = 782
+@end deffn
+
+@geindex Numeric_Std (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std}@anchor{7ed}
+@deffn {Attribute} Numeric_Std = 783
+@end deffn
+
+@geindex Numeric_Bit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Bit}@anchor{7ee}
+@deffn {Attribute} Numeric_Bit = 784
+@end deffn
+
+@geindex Numeric_Std_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std_Unsigned}@anchor{7ef}
+@deffn {Attribute} Numeric_Std_Unsigned = 785
+@end deffn
+
+@geindex Std_Logic_Arith (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Arith}@anchor{7f0}
+@deffn {Attribute} Std_Logic_Arith = 786
+@end deffn
+
+@geindex Std_Logic_Signed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Signed}@anchor{7f1}
+@deffn {Attribute} Std_Logic_Signed = 787
+@end deffn
+
+@geindex Std_Logic_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Unsigned}@anchor{7f2}
+@deffn {Attribute} Std_Logic_Unsigned = 788
+@end deffn
+
+@geindex Std_Logic_Textio (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Textio}@anchor{7f3}
+@deffn {Attribute} Std_Logic_Textio = 789
+@end deffn
+
+@geindex Std_Logic_Misc (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Misc}@anchor{7f4}
+@deffn {Attribute} Std_Logic_Misc = 790
+@end deffn
+
+@geindex Math_Real (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Math_Real}@anchor{7f5}
+@deffn {Attribute} Math_Real = 791
+@end deffn
+
+@geindex Last_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Pkg}@anchor{7f6}
+@deffn {Attribute} Last_Ieee_Pkg = 791
+@end deffn
+
+@geindex First_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Name}@anchor{7f7}
+@deffn {Attribute} First_Ieee_Name = 792
+@end deffn
+
+@geindex Std_Ulogic (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic}@anchor{7f8}
+@deffn {Attribute} Std_Ulogic = 792
+@end deffn
+
+@geindex Std_Ulogic_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic_Vector}@anchor{7f9}
+@deffn {Attribute} Std_Ulogic_Vector = 793
+@end deffn
+
+@geindex Std_Logic (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic}@anchor{7fa}
+@deffn {Attribute} Std_Logic = 794
+@end deffn
+
+@geindex Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Vector}@anchor{7fb}
+@deffn {Attribute} Std_Logic_Vector = 795
+@end deffn
+
+@geindex Rising_Edge (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rising_Edge}@anchor{7fc}
+@deffn {Attribute} Rising_Edge = 796
+@end deffn
+
+@geindex Falling_Edge (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Falling_Edge}@anchor{7fd}
+@deffn {Attribute} Falling_Edge = 797
+@end deffn
+
+@geindex VITAL_Level0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level0}@anchor{7fe}
+@deffn {Attribute} VITAL_Level0 = 798
+@end deffn
+
+@geindex VITAL_Level1 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level1}@anchor{7ff}
+@deffn {Attribute} VITAL_Level1 = 799
+@end deffn
+
+@geindex Unresolved_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Unsigned}@anchor{800}
+@deffn {Attribute} Unresolved_Unsigned = 800
+@end deffn
+
+@geindex Unresolved_Signed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Signed}@anchor{801}
+@deffn {Attribute} Unresolved_Signed = 801
+@end deffn
+
+@geindex To_Integer (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Integer}@anchor{802}
+@deffn {Attribute} To_Integer = 802
+@end deffn
+
+@geindex To_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Unsigned}@anchor{803}
+@deffn {Attribute} To_Unsigned = 803
+@end deffn
+
+@geindex To_Signed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Signed}@anchor{804}
+@deffn {Attribute} To_Signed = 804
+@end deffn
+
+@geindex Resize (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resize}@anchor{805}
+@deffn {Attribute} Resize = 805
+@end deffn
+
+@geindex Std_Match (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Match}@anchor{806}
+@deffn {Attribute} Std_Match = 806
+@end deffn
+
+@geindex Shift_Left (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Left}@anchor{807}
+@deffn {Attribute} Shift_Left = 807
+@end deffn
+
+@geindex Shift_Right (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Right}@anchor{808}
+@deffn {Attribute} Shift_Right = 808
+@end deffn
+
+@geindex Rotate_Left (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Left}@anchor{809}
+@deffn {Attribute} Rotate_Left = 809
+@end deffn
+
+@geindex Rotate_Right (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Right}@anchor{80a}
+@deffn {Attribute} Rotate_Right = 810
+@end deffn
+
+@geindex To_Bit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bit}@anchor{80b}
+@deffn {Attribute} To_Bit = 811
+@end deffn
+
+@geindex To_Bitvector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bitvector}@anchor{80c}
+@deffn {Attribute} To_Bitvector = 812
+@end deffn
+
+@geindex To_Stdulogic (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogic}@anchor{80d}
+@deffn {Attribute} To_Stdulogic = 813
+@end deffn
+
+@geindex To_Stdlogicvector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdlogicvector}@anchor{80e}
+@deffn {Attribute} To_Stdlogicvector = 814
+@end deffn
+
+@geindex To_Stdulogicvector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogicvector}@anchor{80f}
+@deffn {Attribute} To_Stdulogicvector = 815
+@end deffn
+
+@geindex Is_X (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is_X}@anchor{810}
+@deffn {Attribute} Is_X = 816
+@end deffn
+
+@geindex To_01 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_01}@anchor{811}
+@deffn {Attribute} To_01 = 817
+@end deffn
+
+@geindex To_X01 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01}@anchor{812}
+@deffn {Attribute} To_X01 = 818
+@end deffn
+
+@geindex To_X01Z (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01Z}@anchor{813}
+@deffn {Attribute} To_X01Z = 819
+@end deffn
+
+@geindex To_UX01 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_UX01}@anchor{814}
+@deffn {Attribute} To_UX01 = 820
+@end deffn
+
+@geindex Conv_Signed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Signed}@anchor{815}
+@deffn {Attribute} Conv_Signed = 821
+@end deffn
+
+@geindex Conv_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Unsigned}@anchor{816}
+@deffn {Attribute} Conv_Unsigned = 822
+@end deffn
+
+@geindex Conv_Integer (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Integer}@anchor{817}
+@deffn {Attribute} Conv_Integer = 823
+@end deffn
+
+@geindex Conv_Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Std_Logic_Vector}@anchor{818}
+@deffn {Attribute} Conv_Std_Logic_Vector = 824
+@end deffn
+
+@geindex And_Reduce (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And_Reduce}@anchor{819}
+@deffn {Attribute} And_Reduce = 825
+@end deffn
+
+@geindex Nand_Reduce (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand_Reduce}@anchor{81a}
+@deffn {Attribute} Nand_Reduce = 826
+@end deffn
+
+@geindex Or_Reduce (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or_Reduce}@anchor{81b}
+@deffn {Attribute} Or_Reduce = 827
+@end deffn
+
+@geindex Nor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor_Reduce}@anchor{81c}
+@deffn {Attribute} Nor_Reduce = 828
+@end deffn
+
+@geindex Xor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor_Reduce}@anchor{81d}
+@deffn {Attribute} Xor_Reduce = 829
+@end deffn
+
+@geindex Xnor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor_Reduce}@anchor{81e}
+@deffn {Attribute} Xnor_Reduce = 830
+@end deffn
+
+@geindex Ceil (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ceil}@anchor{81f}
+@deffn {Attribute} Ceil = 831
+@end deffn
+
+@geindex Floor (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Floor}@anchor{820}
+@deffn {Attribute} Floor = 832
+@end deffn
+
+@geindex Round (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Round}@anchor{821}
+@deffn {Attribute} Round = 833
+@end deffn
+
+@geindex Log2 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Log2}@anchor{822}
+@deffn {Attribute} Log2 = 834
+@end deffn
+
+@geindex Sin (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sin}@anchor{823}
+@deffn {Attribute} Sin = 835
+@end deffn
+
+@geindex Cos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cos}@anchor{824}
+@deffn {Attribute} Cos = 836
+@end deffn
+
+@geindex Arctan (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Arctan}@anchor{825}
+@deffn {Attribute} Arctan = 837
+@end deffn
+
+@geindex Shl (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shl}@anchor{826}
+@deffn {Attribute} Shl = 838
+@end deffn
+
+@geindex Shr (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shr}@anchor{827}
+@deffn {Attribute} Shr = 839
+@end deffn
+
+@geindex Ext (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ext}@anchor{828}
+@deffn {Attribute} Ext = 840
+@end deffn
+
+@geindex Sxt (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sxt}@anchor{829}
+@deffn {Attribute} Sxt = 841
+@end deffn
+
+@geindex Find_Leftmost (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Leftmost}@anchor{82a}
+@deffn {Attribute} Find_Leftmost = 842
+@end deffn
+
+@geindex Find_Rightmost (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Rightmost}@anchor{82b}
+@deffn {Attribute} Find_Rightmost = 843
+@end deffn
+
+@geindex Last_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Name}@anchor{82c}
+@deffn {Attribute} Last_Ieee_Name = 843
+@end deffn
+
+@geindex First_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Synthesis}@anchor{82d}
+@deffn {Attribute} First_Synthesis = 844
+@end deffn
+
+@geindex Allconst (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allconst}@anchor{82e}
+@deffn {Attribute} Allconst = 844
+@end deffn
+
+@geindex Allseq (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allseq}@anchor{82f}
+@deffn {Attribute} Allseq = 845
+@end deffn
+
+@geindex Anyconst (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyconst}@anchor{830}
+@deffn {Attribute} Anyconst = 846
+@end deffn
+
+@geindex Anyseq (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyseq}@anchor{831}
+@deffn {Attribute} Anyseq = 847
+@end deffn
+
+@geindex Gclk (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gclk}@anchor{832}
+@deffn {Attribute} Gclk = 848
+@end deffn
+
+@geindex Loc (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loc}@anchor{833}
+@deffn {Attribute} Loc = 849
+@end deffn
+
+@geindex Last_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Synthesis}@anchor{834}
+@deffn {Attribute} Last_Synthesis = 849
+@end deffn
+
+@geindex First_Directive (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Directive}@anchor{835}
+@deffn {Attribute} First_Directive = 850
+@end deffn
+
+@geindex Define (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Define}@anchor{836}
+@deffn {Attribute} Define = 850
+@end deffn
+
+@geindex Endif (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endif}@anchor{837}
+@deffn {Attribute} Endif = 851
+@end deffn
+
+@geindex Ifdef (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifdef}@anchor{838}
+@deffn {Attribute} Ifdef = 852
+@end deffn
+
+@geindex Ifndef (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifndef}@anchor{839}
+@deffn {Attribute} Ifndef = 853
+@end deffn
+
+@geindex Include (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Include}@anchor{83a}
+@deffn {Attribute} Include = 854
+@end deffn
+
+@geindex Timescale (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timescale}@anchor{83b}
+@deffn {Attribute} Timescale = 855
+@end deffn
+
+@geindex Undef (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Undef}@anchor{83c}
+@deffn {Attribute} Undef = 856
+@end deffn
+
+@geindex Protect (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protect}@anchor{83d}
+@deffn {Attribute} Protect = 857
+@end deffn
+
+@geindex Begin_Protected (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin_Protected}@anchor{83e}
+@deffn {Attribute} Begin_Protected = 858
+@end deffn
+
+@geindex End_Protected (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End_Protected}@anchor{83f}
+@deffn {Attribute} End_Protected = 859
+@end deffn
+
+@geindex Key_Block (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Key_Block}@anchor{840}
+@deffn {Attribute} Key_Block = 860
+@end deffn
+
+@geindex Data_Block (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Data_Block}@anchor{841}
+@deffn {Attribute} Data_Block = 861
+@end deffn
+
+@geindex Line (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Line}@anchor{842}
+@deffn {Attribute} Line = 862
+@end deffn
+
+@geindex Celldefine (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celldefine}@anchor{843}
+@deffn {Attribute} Celldefine = 863
+@end deffn
+
+@geindex Endcelldefine (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcelldefine}@anchor{844}
+@deffn {Attribute} Endcelldefine = 864
+@end deffn
+
+@geindex Default_Nettype (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Nettype}@anchor{845}
+@deffn {Attribute} Default_Nettype = 865
+@end deffn
+
+@geindex Resetall (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resetall}@anchor{846}
+@deffn {Attribute} Resetall = 866
+@end deffn
+
+@geindex Last_Directive (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Directive}@anchor{847}
+@deffn {Attribute} Last_Directive = 866
+@end deffn
+
+@geindex First_Systask (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Systask}@anchor{848}
+@deffn {Attribute} First_Systask = 867
+@end deffn
+
+@geindex Bits (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bits}@anchor{849}
+@deffn {Attribute} Bits = 867
+@end deffn
+
+@geindex D_Root (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Root}@anchor{84a}
+@deffn {Attribute} D_Root = 868
+@end deffn
+
+@geindex D_Unit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Unit}@anchor{84b}
+@deffn {Attribute} D_Unit = 869
+@end deffn
+
+@geindex Last_Systask (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Systask}@anchor{84c}
+@deffn {Attribute} Last_Systask = 869
+@end deffn
+
+@geindex First_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV_Method}@anchor{84d}
+@deffn {Attribute} First_SV_Method = 870
+@end deffn
+
+@geindex Size (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Size}@anchor{84e}
+@deffn {Attribute} Size = 870
+@end deffn
+
+@geindex Insert (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Insert}@anchor{84f}
+@deffn {Attribute} Insert = 871
+@end deffn
+
+@geindex Delete (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delete}@anchor{850}
+@deffn {Attribute} Delete = 872
+@end deffn
+
+@geindex Pop_Front (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Front}@anchor{851}
+@deffn {Attribute} Pop_Front = 873
+@end deffn
+
+@geindex Pop_Back (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Back}@anchor{852}
+@deffn {Attribute} Pop_Back = 874
+@end deffn
+
+@geindex Push_Front (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Front}@anchor{853}
+@deffn {Attribute} Push_Front = 875
+@end deffn
+
+@geindex Push_Back (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Back}@anchor{854}
+@deffn {Attribute} Push_Back = 876
+@end deffn
+
+@geindex Name (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name}@anchor{855}
+@deffn {Attribute} Name = 877
+@end deffn
+
+@geindex Len (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Len}@anchor{856}
+@deffn {Attribute} Len = 878
+@end deffn
+
+@geindex Substr (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Substr}@anchor{857}
+@deffn {Attribute} Substr = 879
+@end deffn
+
+@geindex Exists (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exists}@anchor{858}
+@deffn {Attribute} Exists = 880
+@end deffn
+
+@geindex Atoi (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Atoi}@anchor{859}
+@deffn {Attribute} Atoi = 881
+@end deffn
+
+@geindex Itoa (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Itoa}@anchor{85a}
+@deffn {Attribute} Itoa = 882
+@end deffn
+
+@geindex Find (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find}@anchor{85b}
+@deffn {Attribute} Find = 883
+@end deffn
+
+@geindex Find_Index (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Index}@anchor{85c}
+@deffn {Attribute} Find_Index = 884
+@end deffn
+
+@geindex Find_First (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First}@anchor{85d}
+@deffn {Attribute} Find_First = 885
+@end deffn
+
+@geindex Find_First_Index (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First_Index}@anchor{85e}
+@deffn {Attribute} Find_First_Index = 886
+@end deffn
+
+@geindex Find_Last (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last}@anchor{85f}
+@deffn {Attribute} Find_Last = 887
+@end deffn
+
+@geindex Find_Last_Index (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last_Index}@anchor{860}
+@deffn {Attribute} Find_Last_Index = 888
+@end deffn
+
+@geindex Num (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Num}@anchor{861}
+@deffn {Attribute} Num = 889
+@end deffn
+
+@geindex Randomize (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randomize}@anchor{862}
+@deffn {Attribute} Randomize = 890
+@end deffn
+
+@geindex Pre_Randomize (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pre_Randomize}@anchor{863}
+@deffn {Attribute} Pre_Randomize = 891
+@end deffn
+
+@geindex Post_Randomize (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Post_Randomize}@anchor{864}
+@deffn {Attribute} Post_Randomize = 892
+@end deffn
+
+@geindex Srandom (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srandom}@anchor{865}
+@deffn {Attribute} Srandom = 893
+@end deffn
+
+@geindex Get_Randstate (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Randstate}@anchor{866}
+@deffn {Attribute} Get_Randstate = 894
+@end deffn
+
+@geindex Set_Randstate (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Set_Randstate}@anchor{867}
+@deffn {Attribute} Set_Randstate = 895
+@end deffn
+
+@geindex Seed (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seed}@anchor{868}
+@deffn {Attribute} Seed = 896
+@end deffn
+
+@geindex State (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name State}@anchor{869}
+@deffn {Attribute} State = 897
+@end deffn
+
+@geindex Last_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV_Method}@anchor{86a}
+@deffn {Attribute} Last_SV_Method = 897
+@end deffn
+
+@geindex First_BSV (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_BSV}@anchor{86b}
+@deffn {Attribute} First_BSV = 898
+@end deffn
+
+@geindex uAction (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uAction}@anchor{86c}
+@deffn {Attribute} uAction = 898
+@end deffn
+
+@geindex uActionValue (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uActionValue}@anchor{86d}
+@deffn {Attribute} uActionValue = 899
+@end deffn
+
+@geindex BVI (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name BVI}@anchor{86e}
+@deffn {Attribute} BVI = 900
+@end deffn
+
+@geindex uC (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uC}@anchor{86f}
+@deffn {Attribute} uC = 901
+@end deffn
+
+@geindex uCF (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uCF}@anchor{870}
+@deffn {Attribute} uCF = 902
+@end deffn
+
+@geindex uE (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uE}@anchor{871}
+@deffn {Attribute} uE = 903
+@end deffn
+
+@geindex uSB (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSB}@anchor{872}
+@deffn {Attribute} uSB = 904
+@end deffn
+
+@geindex uSBR (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSBR}@anchor{873}
+@deffn {Attribute} uSBR = 905
+@end deffn
+
+@geindex Action (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Action}@anchor{874}
+@deffn {Attribute} Action = 906
+@end deffn
+
+@geindex Endaction (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endaction}@anchor{875}
+@deffn {Attribute} Endaction = 907
+@end deffn
+
+@geindex Actionvalue (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Actionvalue}@anchor{876}
+@deffn {Attribute} Actionvalue = 908
+@end deffn
+
+@geindex Endactionvalue (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endactionvalue}@anchor{877}
+@deffn {Attribute} Endactionvalue = 909
+@end deffn
+
+@geindex Ancestor (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ancestor}@anchor{878}
+@deffn {Attribute} Ancestor = 910
+@end deffn
+
+@geindex Clocked_By (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocked_By}@anchor{879}
+@deffn {Attribute} Clocked_By = 911
+@end deffn
+
+@geindex Default_Clock (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Clock}@anchor{87a}
+@deffn {Attribute} Default_Clock = 912
+@end deffn
+
+@geindex Default_Reset (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Reset}@anchor{87b}
+@deffn {Attribute} Default_Reset = 913
+@end deffn
+
+@geindex Dependencies (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dependencies}@anchor{87c}
+@deffn {Attribute} Dependencies = 914
+@end deffn
+
+@geindex Deriving (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deriving}@anchor{87d}
+@deffn {Attribute} Deriving = 915
+@end deffn
+
+@geindex Determines (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Determines}@anchor{87e}
+@deffn {Attribute} Determines = 916
+@end deffn
+
+@geindex Enable (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enable}@anchor{87f}
+@deffn {Attribute} Enable = 917
+@end deffn
+
+@geindex Ifc_Inout (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifc_Inout}@anchor{880}
+@deffn {Attribute} Ifc_Inout = 918
+@end deffn
+
+@geindex Input_Clock (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Clock}@anchor{881}
+@deffn {Attribute} Input_Clock = 919
+@end deffn
+
+@geindex Input_Reset (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Reset}@anchor{882}
+@deffn {Attribute} Input_Reset = 920
+@end deffn
+
+@geindex Instance (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance}@anchor{883}
+@deffn {Attribute} Instance = 921
+@end deffn
+
+@geindex Endinstance (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinstance}@anchor{884}
+@deffn {Attribute} Endinstance = 922
+@end deffn
+
+@geindex Let (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Let}@anchor{885}
+@deffn {Attribute} Let = 923
+@end deffn
+
+@geindex Match (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Match}@anchor{886}
+@deffn {Attribute} Match = 924
+@end deffn
+
+@geindex Method (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Method}@anchor{887}
+@deffn {Attribute} Method = 925
+@end deffn
+
+@geindex Endmethod (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmethod}@anchor{888}
+@deffn {Attribute} Endmethod = 926
+@end deffn
+
+@geindex Numeric (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric}@anchor{889}
+@deffn {Attribute} Numeric = 927
+@end deffn
+
+@geindex Output_Clock (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Clock}@anchor{88a}
+@deffn {Attribute} Output_Clock = 928
+@end deffn
+
+@geindex Output_Reset (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Reset}@anchor{88b}
+@deffn {Attribute} Output_Reset = 929
+@end deffn
+
+@geindex Par (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Par}@anchor{88c}
+@deffn {Attribute} Par = 930
+@end deffn
+
+@geindex Endpar (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpar}@anchor{88d}
+@deffn {Attribute} Endpar = 931
+@end deffn
+
+@geindex Path (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path}@anchor{88e}
+@deffn {Attribute} Path = 932
+@end deffn
+
+@geindex Provisos (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Provisos}@anchor{88f}
+@deffn {Attribute} Provisos = 933
+@end deffn
+
+@geindex Ready (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ready}@anchor{890}
+@deffn {Attribute} Ready = 934
+@end deffn
+
+@geindex Reset_By (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reset_By}@anchor{891}
+@deffn {Attribute} Reset_By = 935
+@end deffn
+
+@geindex Rule (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rule}@anchor{892}
+@deffn {Attribute} Rule = 936
+@end deffn
+
+@geindex Endrule (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrule}@anchor{893}
+@deffn {Attribute} Endrule = 937
+@end deffn
+
+@geindex Rules (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rules}@anchor{894}
+@deffn {Attribute} Rules = 938
+@end deffn
+
+@geindex Endrules (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrules}@anchor{895}
+@deffn {Attribute} Endrules = 939
+@end deffn
+
+@geindex Same_Family (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Same_Family}@anchor{896}
+@deffn {Attribute} Same_Family = 940
+@end deffn
+
+@geindex Schedule (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Schedule}@anchor{897}
+@deffn {Attribute} Schedule = 941
+@end deffn
+
+@geindex Seq (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seq}@anchor{898}
+@deffn {Attribute} Seq = 942
+@end deffn
+
+@geindex Endseq (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endseq}@anchor{899}
+@deffn {Attribute} Endseq = 943
+@end deffn
+
+@geindex Typeclass (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typeclass}@anchor{89a}
+@deffn {Attribute} Typeclass = 944
+@end deffn
+
+@geindex Endtypeclass (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtypeclass}@anchor{89b}
+@deffn {Attribute} Endtypeclass = 945
+@end deffn
+
+@geindex Valueof (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Valueof}@anchor{89c}
+@deffn {Attribute} Valueof = 946
+@end deffn
+
+@geindex uValueof (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uValueof}@anchor{89d}
+@deffn {Attribute} uValueof = 947
+@end deffn
+
+@geindex Last_BSV (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_BSV}@anchor{89e}
+@deffn {Attribute} Last_BSV = 947
+@end deffn
+
+@geindex First_Comment (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Comment}@anchor{89f}
+@deffn {Attribute} First_Comment = 948
+@end deffn
+
+@geindex Psl (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Psl}@anchor{8a0}
+@deffn {Attribute} Psl = 948
+@end deffn
+
+@geindex Pragma (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pragma}@anchor{8a1}
+@deffn {Attribute} Pragma = 949
+@end deffn
+
+@geindex Synthesis (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis}@anchor{8a2}
+@deffn {Attribute} Synthesis = 950
+@end deffn
+
+@geindex Synopsys (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synopsys}@anchor{8a3}
+@deffn {Attribute} Synopsys = 951
+@end deffn
+
+@geindex Translate_Off (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_Off}@anchor{8a4}
+@deffn {Attribute} Translate_Off = 952
+@end deffn
+
+@geindex Translate_On (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_On}@anchor{8a5}
+@deffn {Attribute} Translate_On = 953
+@end deffn
+
+@geindex Translate (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate}@anchor{8a6}
+@deffn {Attribute} Translate = 954
+@end deffn
+
+@geindex Synthesis_Off (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_Off}@anchor{8a7}
+@deffn {Attribute} Synthesis_Off = 955
+@end deffn
+
+@geindex Synthesis_On (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_On}@anchor{8a8}
+@deffn {Attribute} Synthesis_On = 956
+@end deffn
+
+@geindex Off (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Off}@anchor{8a9}
+@deffn {Attribute} Off = 957
+@end deffn
+
+@geindex Full_Case (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Full_Case}@anchor{8aa}
+@deffn {Attribute} Full_Case = 958
+@end deffn
+
+@geindex Parallel_Case (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parallel_Case}@anchor{8ab}
+@deffn {Attribute} Parallel_Case = 959
+@end deffn
+
+@geindex Last_Comment (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Comment}@anchor{8ac}
+@deffn {Attribute} Last_Comment = 959
+@end deffn
+
+@geindex First_PSL (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_PSL}@anchor{8ad}
+@deffn {Attribute} First_PSL = 960
+@end deffn
+
+@geindex A (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name A}@anchor{8ae}
+@deffn {Attribute} A = 960
+@end deffn
+
+@geindex Af (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Af}@anchor{8af}
+@deffn {Attribute} Af = 961
+@end deffn
+
+@geindex Ag (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ag}@anchor{8b0}
+@deffn {Attribute} Ag = 962
+@end deffn
+
+@geindex Ax (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ax}@anchor{8b1}
+@deffn {Attribute} Ax = 963
+@end deffn
+
+@geindex Abort (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abort}@anchor{8b2}
+@deffn {Attribute} Abort = 964
+@end deffn
+
+@geindex Assume_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume_Guarantee}@anchor{8b3}
+@deffn {Attribute} Assume_Guarantee = 965
+@end deffn
+
+@geindex Async_Abort (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Async_Abort}@anchor{8b4}
+@deffn {Attribute} Async_Abort = 966
+@end deffn
+
+@geindex Before (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Before}@anchor{8b5}
+@deffn {Attribute} Before = 967
+@end deffn
+
+@geindex Clock (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clock}@anchor{8b6}
+@deffn {Attribute} Clock = 968
+@end deffn
+
+@geindex E (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name E}@anchor{8b7}
+@deffn {Attribute} E = 969
+@end deffn
+
+@geindex Ef (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ef}@anchor{8b8}
+@deffn {Attribute} Ef = 970
+@end deffn
+
+@geindex Eg (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eg}@anchor{8b9}
+@deffn {Attribute} Eg = 971
+@end deffn
+
+@geindex Ex (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ex}@anchor{8ba}
+@deffn {Attribute} Ex = 972
+@end deffn
+
+@geindex Endpoint (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpoint}@anchor{8bb}
+@deffn {Attribute} Endpoint = 973
+@end deffn
+
+@geindex Eventually (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eventually}@anchor{8bc}
+@deffn {Attribute} Eventually = 974
+@end deffn
+
+@geindex Fairness (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fairness}@anchor{8bd}
+@deffn {Attribute} Fairness = 975
+@end deffn
+
+@geindex Fell (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fell}@anchor{8be}
+@deffn {Attribute} Fell = 976
+@end deffn
+
+@geindex Forall (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forall}@anchor{8bf}
+@deffn {Attribute} Forall = 977
+@end deffn
+
+@geindex G (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name G}@anchor{8c0}
+@deffn {Attribute} G = 978
+@end deffn
+
+@geindex Inf (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inf}@anchor{8c1}
+@deffn {Attribute} Inf = 979
+@end deffn
+
+@geindex Inherit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inherit}@anchor{8c2}
+@deffn {Attribute} Inherit = 980
+@end deffn
+
+@geindex Never (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Never}@anchor{8c3}
+@deffn {Attribute} Never = 981
+@end deffn
+
+@geindex Next_A (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_A}@anchor{8c4}
+@deffn {Attribute} Next_A = 982
+@end deffn
+
+@geindex Next_E (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_E}@anchor{8c5}
+@deffn {Attribute} Next_E = 983
+@end deffn
+
+@geindex Next_Event (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event}@anchor{8c6}
+@deffn {Attribute} Next_Event = 984
+@end deffn
+
+@geindex Next_Event_A (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_A}@anchor{8c7}
+@deffn {Attribute} Next_Event_A = 985
+@end deffn
+
+@geindex Next_Event_E (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_E}@anchor{8c8}
+@deffn {Attribute} Next_Event_E = 986
+@end deffn
+
+@geindex Onehot (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot}@anchor{8c9}
+@deffn {Attribute} Onehot = 987
+@end deffn
+
+@geindex Onehot0 (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot0}@anchor{8ca}
+@deffn {Attribute} Onehot0 = 988
+@end deffn
+
+@geindex Prev (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Prev}@anchor{8cb}
+@deffn {Attribute} Prev = 989
+@end deffn
+
+@geindex Rose (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rose}@anchor{8cc}
+@deffn {Attribute} Rose = 990
+@end deffn
+
+@geindex Strong (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong}@anchor{8cd}
+@deffn {Attribute} Strong = 991
+@end deffn
+
+@geindex Sync_Abort (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sync_Abort}@anchor{8ce}
+@deffn {Attribute} Sync_Abort = 992
+@end deffn
+
+@geindex W (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name W}@anchor{8cf}
+@deffn {Attribute} W = 993
+@end deffn
+
+@geindex Whilenot (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Whilenot}@anchor{8d0}
+@deffn {Attribute} Whilenot = 994
+@end deffn
+
+@geindex Within (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Within}@anchor{8d1}
+@deffn {Attribute} Within = 995
+@end deffn
+
+@geindex X (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name X}@anchor{8d2}
+@deffn {Attribute} X = 996
+@end deffn
+
+@geindex Last_PSL (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_PSL}@anchor{8d3}
+@deffn {Attribute} Last_PSL = 996
+@end deffn
+
+@geindex First_Edif (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Edif}@anchor{8d4}
+@deffn {Attribute} First_Edif = 997
+@end deffn
+
+@geindex Celltype (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celltype}@anchor{8d5}
+@deffn {Attribute} Celltype = 1007
+@end deffn
+
+@geindex View (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name View}@anchor{8d6}
+@deffn {Attribute} View = 1008
+@end deffn
+
+@geindex Viewtype (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewtype}@anchor{8d7}
+@deffn {Attribute} Viewtype = 1009
+@end deffn
+
+@geindex Direction (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Direction}@anchor{8d8}
+@deffn {Attribute} Direction = 1010
+@end deffn
+
+@geindex Contents (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contents}@anchor{8d9}
+@deffn {Attribute} Contents = 1011
+@end deffn
+
+@geindex Net (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Net}@anchor{8da}
+@deffn {Attribute} Net = 1012
+@end deffn
+
+@geindex Viewref (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewref}@anchor{8db}
+@deffn {Attribute} Viewref = 1013
+@end deffn
+
+@geindex Cellref (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cellref}@anchor{8dc}
+@deffn {Attribute} Cellref = 1014
+@end deffn
+
+@geindex Libraryref (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Libraryref}@anchor{8dd}
+@deffn {Attribute} Libraryref = 1015
+@end deffn
+
+@geindex Portinstance (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portinstance}@anchor{8de}
+@deffn {Attribute} Portinstance = 1016
+@end deffn
+
+@geindex Joined (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Joined}@anchor{8df}
+@deffn {Attribute} Joined = 1017
+@end deffn
+
+@geindex Portref (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portref}@anchor{8e0}
+@deffn {Attribute} Portref = 1018
+@end deffn
+
+@geindex Instanceref (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instanceref}@anchor{8e1}
+@deffn {Attribute} Instanceref = 1019
+@end deffn
+
+@geindex Design (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Design}@anchor{8e2}
+@deffn {Attribute} Design = 1020
+@end deffn
+
+@geindex Designator (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Designator}@anchor{8e3}
+@deffn {Attribute} Designator = 1021
+@end deffn
+
+@geindex Owner (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Owner}@anchor{8e4}
+@deffn {Attribute} Owner = 1022
+@end deffn
+
+@geindex Member (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Member}@anchor{8e5}
+@deffn {Attribute} Member = 1023
+@end deffn
+
+@geindex Number (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Number}@anchor{8e6}
+@deffn {Attribute} Number = 1024
+@end deffn
+
+@geindex Rename (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rename}@anchor{8e7}
+@deffn {Attribute} Rename = 1025
+@end deffn
+
+@geindex Userdata (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Userdata}@anchor{8e8}
+@deffn {Attribute} Userdata = 1026
+@end deffn
+
+@geindex Last_Edif (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Edif}@anchor{8e9}
+@deffn {Attribute} Last_Edif = 1026
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl utils,pyGHDL libghdl version,pyGHDL libghdl std_names,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl utils doc}@anchor{8ea}@anchor{pyGHDL/pyGHDL libghdl utils module-pyGHDL libghdl utils}@anchor{22}@anchor{pyGHDL/pyGHDL libghdl utils pyghdl-libghdl-utils}@anchor{8eb}
+@subsection pyGHDL.libghdl.utils
+
+
+@geindex module; pyGHDL.libghdl.utils
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{8ec,,name_image()}:
+Lookup a @code{Id} and return its string.
+
+@item
+@ref{8ed,,fields_image()}:
+String representation of Nodes_Meta.fields @code{idx}.
+
+@item
+@ref{8ee,,kind_image()}:
+String representation of Nodes.Iir_Kind @code{k}.
+
+@item
+@ref{8ef,,types_image()}:
+String representation of Nodes_Meta.Types @code{t}.
+
+@item
+@ref{8f0,,attr_image()}:
+String representation of Nodes_Meta.Attr @code{a}.
+
+@item
+@ref{8f1,,leftest_location()}:
+Undocumented.
+
+@item
+@ref{8f2,,fields_iter()}:
+Iterate on fields of node @code{n}.
+
+@item
+@ref{8f3,,chain_iter()}:
+Iterate of a chain headed by node @code{n}.
+
+@item
+@ref{8f4,,chain_to_list()}:
+Convert a chain headed by node @code{n} to a Python list.
+
+@item
+@ref{8f5,,nodes_iter()}:
+Iterate all nodes of @code{n}, including @code{n}.
+
+@item
+@ref{8f6,,list_iter()}:
+Iterate all element of Iir_List @code{lst}.
+
+@item
+@ref{8f7,,flist_iter()}:
+Iterate all element of Iir_List @code{lst}.
+
+@item
+@ref{8f8,,declarations_iter()}:
+Iterate all declarations in node @code{n}.
+
+@item
+@ref{8f9,,concurrent_stmts_iter()}:
+Iterate concurrent statements in node @code{n}.
+
+@item
+@ref{8fa,,constructs_iter()}:
+Iterate library units, concurrent statements and declarations
+
+@item
+@ref{8fb,,sequential_iter()}:
+Iterate sequential statements. The first node must be either
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex name_image() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils name_image}@anchor{8ec}
+@deffn {Function} pyGHDL.libghdl.utils.name_image (Id)
+
+
+Lookup a @code{Id} and return its string.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex fields_image() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_image}@anchor{8ed}
+@deffn {Function} pyGHDL.libghdl.utils.fields_image (idx)
+
+
+String representation of Nodes_Meta.fields @code{idx}.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex kind_image() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils kind_image}@anchor{8ee}
+@deffn {Function} pyGHDL.libghdl.utils.kind_image (k)
+
+
+String representation of Nodes.Iir_Kind @code{k}.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex types_image() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils types_image}@anchor{8ef}
+@deffn {Function} pyGHDL.libghdl.utils.types_image (t)
+
+
+String representation of Nodes_Meta.Types @code{t}.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex attr_image() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils attr_image}@anchor{8f0}
+@deffn {Function} pyGHDL.libghdl.utils.attr_image (a)
+
+
+String representation of Nodes_Meta.Attr @code{a}.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex leftest_location() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils leftest_location}@anchor{8f1}
+@deffn {Function} pyGHDL.libghdl.utils.leftest_location (n)
+
+@end deffn
+
+@geindex fields_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_iter}@anchor{8f2}
+@deffn {Function} pyGHDL.libghdl.utils.fields_iter (n)
+
+
+Iterate on fields of node @code{n}.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex chain_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_iter}@anchor{8f3}
+@deffn {Function} pyGHDL.libghdl.utils.chain_iter (n)
+
+
+Iterate of a chain headed by node @code{n}.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex chain_to_list() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_to_list}@anchor{8f4}
+@deffn {Function} pyGHDL.libghdl.utils.chain_to_list (n)
+
+
+Convert a chain headed by node @code{n} to a Python list.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}]
+
+@end deffn
+
+@geindex nodes_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils nodes_iter}@anchor{8f5}
+@deffn {Function} pyGHDL.libghdl.utils.nodes_iter (n)
+
+
+Iterate all nodes of @code{n}, including @code{n}.
+Nodes are returned only once.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex list_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils list_iter}@anchor{8f6}
+@deffn {Function} pyGHDL.libghdl.utils.list_iter (lst)
+
+
+Iterate all element of Iir_List @code{lst}.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex flist_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils flist_iter}@anchor{8f7}
+@deffn {Function} pyGHDL.libghdl.utils.flist_iter (lst)
+
+
+Iterate all element of Iir_List @code{lst}.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex declarations_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils declarations_iter}@anchor{8f8}
+@deffn {Function} pyGHDL.libghdl.utils.declarations_iter (n)
+
+
+Iterate all declarations in node @code{n}.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex concurrent_stmts_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils concurrent_stmts_iter}@anchor{8f9}
+@deffn {Function} pyGHDL.libghdl.utils.concurrent_stmts_iter (n)
+
+
+Iterate concurrent statements in node @code{n}.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex constructs_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils constructs_iter}@anchor{8fa}
+@deffn {Function} pyGHDL.libghdl.utils.constructs_iter (n)
+
+
+Iterate library units, concurrent statements and declarations
+that appear directly within a declarative part.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@geindex sequential_iter() (in module pyGHDL.libghdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils sequential_iter}@anchor{8fb}
+@deffn {Function} pyGHDL.libghdl.utils.sequential_iter (n)
+
+
+Iterate sequential statements. The first node must be either
+a process or a subprogram body.
+
+@*Return type:
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl version,pyGHDL libghdl vhdl,pyGHDL libghdl utils,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl version doc}@anchor{8fc}@anchor{pyGHDL/pyGHDL libghdl version module-pyGHDL libghdl version}@anchor{23}@anchor{pyGHDL/pyGHDL libghdl version pyghdl-libghdl-version}@anchor{8fd}
+@subsection pyGHDL.libghdl.version
+
+
+@geindex module; pyGHDL.libghdl.version
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl,,pyGHDL libghdl version,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl doc}@anchor{8fe}@anchor{pyGHDL/pyGHDL libghdl vhdl module-pyGHDL libghdl vhdl}@anchor{24}@anchor{pyGHDL/pyGHDL libghdl vhdl pyghdl-libghdl-vhdl}@anchor{8ff}
+@subsection pyGHDL.libghdl.vhdl
+
+
+@geindex module; pyGHDL.libghdl.vhdl
+
+@c #-----------------------------------
+
+@strong{Submodules}
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@menu
+* pyGHDL.libghdl.vhdl.canon: pyGHDL libghdl vhdl canon.
+* pyGHDL.libghdl.vhdl.elocations: pyGHDL libghdl vhdl elocations.
+* pyGHDL.libghdl.vhdl.flists: pyGHDL libghdl vhdl flists.
+* pyGHDL.libghdl.vhdl.formatters: pyGHDL libghdl vhdl formatters.
+* pyGHDL.libghdl.vhdl.ieee: pyGHDL libghdl vhdl ieee.
+* pyGHDL.libghdl.vhdl.lists: pyGHDL libghdl vhdl lists.
+* pyGHDL.libghdl.vhdl.nodes: pyGHDL libghdl vhdl nodes.
+* pyGHDL.libghdl.vhdl.nodes_meta: pyGHDL libghdl vhdl nodes_meta.
+* pyGHDL.libghdl.vhdl.nodes_utils: pyGHDL libghdl vhdl nodes_utils.
+* pyGHDL.libghdl.vhdl.parse: pyGHDL libghdl vhdl parse.
+* pyGHDL.libghdl.vhdl.sem: pyGHDL libghdl vhdl sem.
+* pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib.
+* pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package.
+* pyGHDL.libghdl.vhdl.tokens: pyGHDL libghdl vhdl tokens.
+
+@end menu
+
+@node pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl elocations,,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon doc}@anchor{900}@anchor{pyGHDL/pyGHDL libghdl vhdl canon module-pyGHDL libghdl vhdl canon}@anchor{25}@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyghdl-libghdl-vhdl-canon}@anchor{901}
+@subsubsection pyGHDL.libghdl.vhdl.canon
+
+
+@geindex module; pyGHDL.libghdl.vhdl.canon
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{902,,Flag_Concurrent_Stmts}
+
+@item
+@ref{903,,Flag_Configurations}
+
+@item
+@ref{904,,Flag_Associations}
+@end itemize
+
+@geindex Flag_Concurrent_Stmts (in module pyGHDL.libghdl.vhdl.canon)
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Concurrent_Stmts}@anchor{902}
+@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Concurrent_Stmts
@example
--All Compile all libraries, including common libraries, packages and device libraries.
--Altera Compile base libraries like 'altera' and 'altera_mf'
--Max Compile device libraries for Max CPLDs
--Arria Compile device libraries for Arria FPGAs
--Cyclone Compile device libraries for Cyclone FPGAs
--Stratix Compile device libraries for Stratix FPGAs
+c_bool(True)
@end example
+@end deffn
-Compile options:
+@geindex Flag_Configurations (in module pyGHDL.libghdl.vhdl.canon)
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Configurations}@anchor{903}
+@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Configurations
@example
--VHDL93 Compile selected libraries with VHDL-93 (default).
--VHDL2008 Compile selected libraries with VHDL-2008.
+c_bool(True)
@end example
+@end deffn
-@item
-@cite{compile-xilinx-ise.ps1}
-
-Selectable libraries:
+@geindex Flag_Associations (in module pyGHDL.libghdl.vhdl.canon)
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Associations}@anchor{904}
+@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Associations
@example
--All Compile all libraries, including common libraries, packages and device libraries.
--Unisim Compile the unisim primitives
--Unimacro Compile the unimacro macros
--Simprim Compile the simprim primitives
--CoreLib Compile the xilinxcorelib macros
--Secureip Compile the secureip primitives
+c_bool(True)
@end example
+@end deffn
-Compile options:
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations doc}@anchor{905}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations module-pyGHDL libghdl vhdl elocations}@anchor{26}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyghdl-libghdl-vhdl-elocations}@anchor{906}
+@subsubsection pyGHDL.libghdl.vhdl.elocations
+
+
+@geindex module; pyGHDL.libghdl.vhdl.elocations
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{907,,Get_Start_Location()}:
+Undocumented.
+
+@item
+@ref{908,,Set_Start_Location()}:
+Undocumented.
+
+@item
+@ref{909,,Get_Right_Paren_Location()}:
+Undocumented.
+
+@item
+@ref{90a,,Set_Right_Paren_Location()}:
+Undocumented.
+
+@item
+@ref{90b,,Get_End_Location()}:
+Undocumented.
+
+@item
+@ref{90c,,Set_End_Location()}:
+Undocumented.
+
+@item
+@ref{90d,,Get_Is_Location()}:
+Undocumented.
+
+@item
+@ref{90e,,Set_Is_Location()}:
+Undocumented.
+
+@item
+@ref{90f,,Get_Begin_Location()}:
+Undocumented.
+
+@item
+@ref{910,,Set_Begin_Location()}:
+Undocumented.
+
+@item
+@ref{911,,Get_Then_Location()}:
+Undocumented.
+
+@item
+@ref{912,,Set_Then_Location()}:
+Undocumented.
+
+@item
+@ref{913,,Get_Use_Location()}:
+Undocumented.
+
+@item
+@ref{914,,Set_Use_Location()}:
+Undocumented.
+
+@item
+@ref{915,,Get_Loop_Location()}:
+Undocumented.
+
+@item
+@ref{916,,Set_Loop_Location()}:
+Undocumented.
+
+@item
+@ref{917,,Get_Generate_Location()}:
+Undocumented.
+
+@item
+@ref{918,,Set_Generate_Location()}:
+Undocumented.
+
+@item
+@ref{919,,Get_Generic_Location()}:
+Undocumented.
+
+@item
+@ref{91a,,Set_Generic_Location()}:
+Undocumented.
+
+@item
+@ref{91b,,Get_Port_Location()}:
+Undocumented.
+
+@item
+@ref{91c,,Set_Port_Location()}:
+Undocumented.
+
+@item
+@ref{91d,,Get_Generic_Map_Location()}:
+Undocumented.
+
+@item
+@ref{91e,,Set_Generic_Map_Location()}:
+Undocumented.
+
+@item
+@ref{91f,,Get_Port_Map_Location()}:
+Undocumented.
+
+@item
+@ref{920,,Set_Port_Map_Location()}:
+Undocumented.
+
+@item
+@ref{921,,Get_Arrow_Location()}:
+Undocumented.
+
+@item
+@ref{922,,Set_Arrow_Location()}:
+Undocumented.
+
+@item
+@ref{923,,Get_Colon_Location()}:
+Undocumented.
+
+@item
+@ref{924,,Set_Colon_Location()}:
+Undocumented.
+
+@item
+@ref{925,,Get_Assign_Location()}:
+Undocumented.
+
+@item
+@ref{926,,Set_Assign_Location()}:
+Undocumented.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Get_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Start_Location}@anchor{907}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Start_Location (obj)
+
+@end deffn
+
+@geindex Set_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Start_Location}@anchor{908}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Start_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Right_Paren_Location}@anchor{909}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Right_Paren_Location (obj)
+
+@end deffn
+
+@geindex Set_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Right_Paren_Location}@anchor{90a}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Right_Paren_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_End_Location}@anchor{90b}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_End_Location (obj)
+
+@end deffn
+
+@geindex Set_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_End_Location}@anchor{90c}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_End_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Is_Location}@anchor{90d}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Is_Location (obj)
+
+@end deffn
+
+@geindex Set_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Is_Location}@anchor{90e}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Is_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Begin_Location}@anchor{90f}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Begin_Location (obj)
+
+@end deffn
+
+@geindex Set_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Begin_Location}@anchor{910}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Begin_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Then_Location}@anchor{911}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Then_Location (obj)
+
+@end deffn
+
+@geindex Set_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Then_Location}@anchor{912}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Then_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Use_Location}@anchor{913}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Use_Location (obj)
+
+@end deffn
+
+@geindex Set_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Use_Location}@anchor{914}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Use_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Loop_Location}@anchor{915}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Loop_Location (obj)
+
+@end deffn
+
+@geindex Set_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Loop_Location}@anchor{916}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Loop_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generate_Location}@anchor{917}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generate_Location (obj)
+
+@end deffn
+
+@geindex Set_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generate_Location}@anchor{918}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generate_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Location}@anchor{919}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Location (obj)
+
+@end deffn
+
+@geindex Set_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Location}@anchor{91a}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Location}@anchor{91b}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Location (obj)
+
+@end deffn
+
+@geindex Set_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Location}@anchor{91c}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Map_Location}@anchor{91d}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Map_Location (obj)
+
+@end deffn
+
+@geindex Set_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Map_Location}@anchor{91e}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Map_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Map_Location}@anchor{91f}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Map_Location (obj)
+
+@end deffn
+
+@geindex Set_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Map_Location}@anchor{920}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Map_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Arrow_Location}@anchor{921}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Arrow_Location (obj)
+
+@end deffn
+
+@geindex Set_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Arrow_Location}@anchor{922}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Arrow_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Colon_Location}@anchor{923}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Colon_Location (obj)
+
+@end deffn
+
+@geindex Set_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Colon_Location}@anchor{924}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Colon_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Assign_Location}@anchor{925}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Assign_Location (obj)
+
+@end deffn
+
+@geindex Set_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Assign_Location}@anchor{926}
+@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Assign_Location (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists doc}@anchor{927}@anchor{pyGHDL/pyGHDL libghdl vhdl flists module-pyGHDL libghdl vhdl flists}@anchor{27}@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyghdl-libghdl-vhdl-flists}@anchor{928}
+@subsubsection pyGHDL.libghdl.vhdl.flists
+
+
+@geindex module; pyGHDL.libghdl.vhdl.flists
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{929,,Ffirst}
+@end itemize
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{92a,,Flist_Type}:
+XXX to be provided
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{92b,,Flast()}:
+Last index of @code{FList}.
+
+@item
+@ref{92c,,Length()}:
+Get the length of @code{FList}.
+
+@item
+@ref{92d,,Get_Nth_Element()}:
+Get the N-th element of @code{FList}.
+@end itemize
+
+@geindex Ffirst (in module pyGHDL.libghdl.vhdl.flists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Ffirst}@anchor{929}
+@deffn {Data} pyGHDL.libghdl.vhdl.flists.Ffirst
+
+int([x]) -> integer
+int(x, base=10) -> integer
+
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
+
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
@example
--VHDL93 Compile selected libraries with VHDL-93 (default).
--VHDL2008 Compile selected libraries with VHDL-2008.
+0
@end example
+@end deffn
-@item
-@cite{compile-xilinx-vivado.ps1}
+@c #-----------------------------------
+
+@geindex Flist_Type (in module pyGHDL.libghdl.vhdl.flists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flist_Type}@anchor{92a}
+@deffn {Attribute} pyGHDL.libghdl.vhdl.flists.Flist_Type
+
+alias of ctypes.c_long@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_long}
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Flast() (in module pyGHDL.libghdl.vhdl.flists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flast}@anchor{92b}
+@deffn {Function} pyGHDL.libghdl.vhdl.flists.Flast (FList)
+
+
+Last index of @code{FList}.
+
+@cartouche
+@quotation Hint
+Could be used to iterate.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Index of the last element in the list.
+
+@end deffn
+
+@geindex Length() (in module pyGHDL.libghdl.vhdl.flists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Length}@anchor{92c}
+@deffn {Function} pyGHDL.libghdl.vhdl.flists.Length (FList)
+
+
+Get the length of @code{FList}.
+
+@*Parameters:
+@code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Number of elements in the list.
+
+@end deffn
+
+@geindex Get_Nth_Element() (in module pyGHDL.libghdl.vhdl.flists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Get_Nth_Element}@anchor{92d}
+@deffn {Function} pyGHDL.libghdl.vhdl.flists.Get_Nth_Element (FList, N)
+
+
+Get the N-th element of @code{FList}.
+
+First element has index 0.
+
+@*Parameters:
+@code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Type: @code{El_Type}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters doc}@anchor{92e}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters module-pyGHDL libghdl vhdl formatters}@anchor{28}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyghdl-libghdl-vhdl-formatters}@anchor{92f}
+@subsubsection pyGHDL.libghdl.vhdl.formatters
+
+
+@geindex module; pyGHDL.libghdl.vhdl.formatters
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{930,,Indent_String()}:
+Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
+
+@item
+@ref{931,,Allocate_Handle()}:
+.. todo:: Undocumented in Ada code.
+
+@item
+@ref{932,,Get_Length()}:
+.. todo:: Undocumented in Ada code.
+
+@item
+@ref{933,,Get_C_String()}:
+.. todo:: Undocumented in Ada code.
+
+@item
+@ref{934,,Free_Handle()}:
+.. todo:: Undocumented in Ada code.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Indent_String() (in module pyGHDL.libghdl.vhdl.formatters)
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Indent_String}@anchor{930}
+@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Indent_String (File, Handle, FirstLine, LastLine)
-Selectable libraries:
+
+Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – File to indent lines within. Type: @code{Iir_Design_File}
+
+@item
+@code{Handle} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented. Type: @code{Vstring_Acc}
+
+@item
+@code{FirstLine} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented.
+
+@item
+@code{LastLine} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented.
+@end itemize
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Allocate_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Allocate_Handle}@anchor{931}
+@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Allocate_Handle ()
+
+
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
+
+@*Returns:
+undocumented. Type: @code{Vstring_Acc}
+
+@end deffn
+
+@geindex Get_Length() (in module pyGHDL.libghdl.vhdl.formatters)
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_Length}@anchor{932}
+@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_Length (Handle)
+
+
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{Handle} – undocumented. Type: @code{Vstring_Acc}
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+undocumented.
+
+@end deffn
+
+@geindex Get_C_String() (in module pyGHDL.libghdl.vhdl.formatters)
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_C_String}@anchor{933}
+@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_C_String (Handle)
+
+
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{Handle} – undocumented. Type: @code{Vstring_Acc}
+
+
+@*Returns:
+Type: @code{Grt.Types.Ghdl_C_String}
+
+@end deffn
+
+@geindex Free_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Free_Handle}@anchor{934}
+@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Free_Handle (Handle)
+
+
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
+
+@*Parameters:
+@code{Handle} – undocumented. Type: @code{Vstring_Acc}
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee doc}@anchor{935}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee module-pyGHDL libghdl vhdl ieee}@anchor{29}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyghdl-libghdl-vhdl-ieee}@anchor{936}
+@subsubsection pyGHDL.libghdl.vhdl.ieee
+
+
+@geindex module; pyGHDL.libghdl.vhdl.ieee
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{937,,Std_Logic_1164_Pkg}
+
+@item
+@ref{938,,Std_Logic_Type}
+
+@item
+@ref{939,,Std_Logic_Vector_Type}
+@end itemize
+
+@geindex Std_Logic_1164_Pkg (in module pyGHDL.libghdl.vhdl.ieee)
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_1164_Pkg}@anchor{937}
+@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_1164_Pkg
@example
--All Compile all libraries, including common libraries, packages and device libraries.
--Unisim Compile the unisim primitives
--Unimacro Compile the unimacro macros
--Secureip Compile the secureip primitives
+c_long(0)
@end example
+@end deffn
-Compile options:
+@geindex Std_Logic_Type (in module pyGHDL.libghdl.vhdl.ieee)
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Type}@anchor{938}
+@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Type
@example
--VHDL93 Compile selected libraries with VHDL-93 (default).
--VHDL2008 Compile selected libraries with VHDL-2008.
+c_long(0)
@end example
+@end deffn
-@item
-@cite{compile-osvvm.ps1}
-
-Selectable libraries:
+@geindex Std_Logic_Vector_Type (in module pyGHDL.libghdl.vhdl.ieee)
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Vector_Type}@anchor{939}
+@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Vector_Type
@example
--All Compile all.
--OSVVM Compile the OSVVM library.
+c_long(0)
@end example
+@end deffn
-@item
-@cite{compile-uvvm.ps1}
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists doc}@anchor{93a}@anchor{pyGHDL/pyGHDL libghdl vhdl lists module-pyGHDL libghdl vhdl lists}@anchor{2a}@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyghdl-libghdl-vhdl-lists}@anchor{93b}
+@subsubsection pyGHDL.libghdl.vhdl.lists
+
+
+@geindex module; pyGHDL.libghdl.vhdl.lists
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{93c,,Iterator}:
+Structure base class
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{93d,,Iterate()}:
+Create an iterator for a given list.
+
+@item
+@ref{93e,,Is_Valid()}:
+Check if iterator reached the end.
+
+@item
+@ref{93f,,Next()}:
+Move iterator to the next element.
+
+@item
+@ref{940,,Get_Element()}:
+Get the current element from iterator.
+
+@item
+@ref{941,,Get_Nbr_Elements()}:
+Return the number of elements in the list.
+
+@item
+@ref{942,,Create_Iir_List()}:
+Create a list.
+
+@item
+@ref{943,,Destroy_Iir_List()}:
+Destroy a list.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Iterator (class in pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator}@anchor{93c}
+@deffn {Class} pyGHDL.libghdl.vhdl.lists.Iterator
+
+
+@subsubheading Inheritance
+
+@image{inheritance-09d94610fb1b7bb222ec2d8c9ba69f958a6e2158,,,[graphviz],png}
+
+@subsubheading Members
-Selectable libraries:
+
+@geindex _fields_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _fields_}@anchor{944}
+@deffn {Attribute} _fields_ = [('chunk', <class 'ctypes.c_long'>), ('chunk_idx', <class 'ctypes.c_long'>), ('remain', <class 'ctypes.c_long'>)]
+@end deffn
+
+@geindex _b_base_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_base_}@anchor{945}
+@deffn {Attribute} _b_base_
+
+the base object
+@end deffn
+
+@geindex _b_needsfree_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_needsfree_}@anchor{946}
+@deffn {Attribute} _b_needsfree_
+
+whether the object owns the memory or not
+@end deffn
+
+@geindex _objects (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _objects}@anchor{947}
+@deffn {Attribute} _objects
+
+internal objects tree (NEVER CHANGE THIS OBJECT!)
+@end deffn
+
+@geindex chunk (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk}@anchor{948}
+@deffn {Attribute} chunk
+
+Structure/Union member
+@end deffn
+
+@geindex chunk_idx (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk_idx}@anchor{949}
+@deffn {Attribute} chunk_idx
+
+Structure/Union member
+@end deffn
+
+@geindex remain (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator remain}@anchor{94a}
+@deffn {Attribute} remain
+
+Structure/Union member
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Iterate() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterate}@anchor{93d}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Iterate (List)
+
+
+Create an iterator for a given list.
+
+The idiomatic way to iterate is:
@example
--All Compile all.
--UVVM Compile the UVVM libraries.
+It = Iterate(List)
+while Is_Valid(It):
+ El = Get_Element(It)
+ # ...
+ Next(It)
@end example
-@end itemize
+@*Parameters:
+@code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to create an iterator from.
-__________________________________________________________________
+@*Return type:
+@ref{93c,,Iterator}
-@quotation
+
+@*Returns:
+Iterator structure.
+
+@end deffn
+
+@geindex Is_Valid() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Is_Valid}@anchor{93e}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Is_Valid (it)
+
+
+Check if iterator reached the end.
+
+@*Parameters:
+@code{it} (@ref{93c,,Iterator}) – Iterator to check.
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+
+@*Returns:
+@code{False}, if iterator has reached the end.
+
+@end deffn
+
+@geindex Next() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Next}@anchor{93f}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Next (it)
+
+
+Move iterator to the next element.
+
+@*Parameters:
+@code{it} (@ref{93c,,Iterator}) – Iterator to increment.
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+
+@*Returns:
+@code{False}, if iterator has reached the end.
+
+@end deffn
+
+@geindex Get_Element() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Element}@anchor{940}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Element (it)
+
+
+Get the current element from iterator.
+
+@*Parameters:
+@code{it} (@ref{93c,,Iterator}) – Iterator the get the element from.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+The current element the iterator points to. Type: @code{El_Type}
+
+@end deffn
+
+@geindex Get_Nbr_Elements() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Nbr_Elements}@anchor{941}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Nbr_Elements (List)
+
+
+Return the number of elements in the list.
+
+@cartouche
+@quotation Hint
+This is also 1 + the position of the last element.
@end quotation
+@end cartouche
+
+@*Parameters:
+@code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – The list to use.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Number of list elements.
+
+@end deffn
+
+@geindex Create_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Create_Iir_List}@anchor{942}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Create_Iir_List ()
+
+
+Create a list.
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
-@c # preload commonly known graphical characters like (c)
+@*Returns:
+undocumented; Type: @code{List_Type}
+
+@end deffn
+
+@geindex Destroy_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Destroy_Iir_List}@anchor{943}
+@deffn {Function} pyGHDL.libghdl.vhdl.lists.Destroy_Iir_List (List)
+
+
+Destroy a list.
+
+@*Parameters:
+@code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to destroy.
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -4745,383 +22653,24675 @@ __________________________________________________________________
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c This data file has been placed in the public domain.
-@node Command Reference,Coding Style,Precompile Vendor Primitives,Top
-@anchor{references/CommandReference doc}@anchor{fc}@anchor{references/CommandReference command-reference}@anchor{fd}@anchor{references/CommandReference ref-command}@anchor{f}
-@chapter Command Reference
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c # define a hard line break for HTML
-@cartouche
-@quotation Hint
-The most common commands and options are shown in section @ref{e,,Invoking GHDL}. Here the advanced and experimental features are described.
-@end quotation
-@end cartouche
+@node pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes doc}@anchor{94b}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes module-pyGHDL libghdl vhdl nodes}@anchor{2b}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyghdl-libghdl-vhdl-nodes}@anchor{94c}
+@subsubsection pyGHDL.libghdl.vhdl.nodes
-@menu
-* Environment variables::
-* Misc commands::
-* File commands::
-* GCC/LLVM only commands::
-* Options: Options<2>.
-* Passing options to other programs::
-@end menu
+@geindex module; pyGHDL.libghdl.vhdl.nodes
-@node Environment variables,Misc commands,,Command Reference
-@anchor{references/CommandReference environment-variables}@anchor{fe}
-@section Environment variables
+@c #-----------------------------------
+@strong{Classes}
-@geindex environment variable; GHDL_PREFIX
-@anchor{references/CommandReference envvar-GHDL_PREFIX}@anchor{34}
-@deffn {Environment Variable} GHDL_PREFIX
+
+@itemize -
+
+@item
+@ref{517,,Iir_Kind}:
+An enumeration.
+
+@item
+@ref{94d,,Iir_Kinds}:
+Undocumented.
+
+@item
+@ref{94e,,Iir_Mode}:
+An enumeration.
+
+@item
+@ref{94f,,ScalarSize}:
+An enumeration.
+
+@item
+@ref{950,,Iir_Staticness}:
+An enumeration.
+
+@item
+@ref{951,,Iir_Constraint}:
+An enumeration.
+
+@item
+@ref{952,,Iir_Delay_Mechanism}:
+An enumeration.
+
+@item
+@ref{953,,DateStateType}:
+An enumeration.
+
+@item
+@ref{954,,NumberBaseType}:
+An enumeration.
+
+@item
+@ref{955,,Iir_Predefined}:
+An enumeration.
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{956,,Get_Kind()}:
+Get node kind.
+
+@item
+@ref{957,,Get_Location()}:
+
+@item
+@ref{958,,Get_First_Design_Unit()}:
+
+@item
+@ref{959,,Set_First_Design_Unit()}:
+
+@item
+@ref{95a,,Get_Last_Design_Unit()}:
+
+@item
+@ref{95b,,Set_Last_Design_Unit()}:
+
+@item
+@ref{95c,,Get_Library_Declaration()}:
+
+@item
+@ref{95d,,Set_Library_Declaration()}:
+
+@item
+@ref{95e,,Get_File_Checksum()}:
+
+@item
+@ref{95f,,Set_File_Checksum()}:
+
+@item
+@ref{960,,Get_Analysis_Time_Stamp()}:
+
+@item
+@ref{961,,Set_Analysis_Time_Stamp()}:
+
+@item
+@ref{962,,Get_Design_File_Source()}:
+
+@item
+@ref{963,,Set_Design_File_Source()}:
+
+@item
+@ref{964,,Get_Library()}:
+
+@item
+@ref{965,,Set_Library()}:
+
+@item
+@ref{966,,Get_File_Dependence_List()}:
+
+@item
+@ref{967,,Set_File_Dependence_List()}:
+
+@item
+@ref{968,,Get_Design_File_Filename()}:
+
+@item
+@ref{969,,Set_Design_File_Filename()}:
+
+@item
+@ref{96a,,Get_Design_File_Directory()}:
+
+@item
+@ref{96b,,Set_Design_File_Directory()}:
+
+@item
+@ref{96c,,Get_Design_File()}:
+
+@item
+@ref{96d,,Set_Design_File()}:
+
+@item
+@ref{96e,,Get_Design_File_Chain()}:
+
+@item
+@ref{96f,,Set_Design_File_Chain()}:
+
+@item
+@ref{970,,Get_Library_Directory()}:
+
+@item
+@ref{971,,Set_Library_Directory()}:
+
+@item
+@ref{972,,Get_Date()}:
+
+@item
+@ref{973,,Set_Date()}:
+
+@item
+@ref{974,,Get_Context_Items()}:
+
+@item
+@ref{975,,Set_Context_Items()}:
+
+@item
+@ref{976,,Get_Dependence_List()}:
+
+@item
+@ref{977,,Set_Dependence_List()}:
+
+@item
+@ref{978,,Get_Analysis_Checks_List()}:
+
+@item
+@ref{979,,Set_Analysis_Checks_List()}:
+
+@item
+@ref{97a,,Get_Date_State()}:
+
+@item
+@ref{97b,,Set_Date_State()}:
+
+@item
+@ref{97c,,Get_Guarded_Target_State()}:
+
+@item
+@ref{97d,,Set_Guarded_Target_State()}:
+
+@item
+@ref{97e,,Get_Library_Unit()}:
+
+@item
+@ref{97f,,Set_Library_Unit()}:
+
+@item
+@ref{980,,Get_Hash_Chain()}:
+
+@item
+@ref{981,,Set_Hash_Chain()}:
+
+@item
+@ref{982,,Get_Design_Unit_Source_Pos()}:
+
+@item
+@ref{983,,Set_Design_Unit_Source_Pos()}:
+
+@item
+@ref{984,,Get_Design_Unit_Source_Line()}:
+
+@item
+@ref{985,,Set_Design_Unit_Source_Line()}:
+
+@item
+@ref{986,,Get_Design_Unit_Source_Col()}:
+
+@item
+@ref{987,,Set_Design_Unit_Source_Col()}:
+
+@item
+@ref{988,,Get_Value()}:
+
+@item
+@ref{989,,Set_Value()}:
+
+@item
+@ref{98a,,Get_Enum_Pos()}:
+
+@item
+@ref{98b,,Set_Enum_Pos()}:
+
+@item
+@ref{98c,,Get_Physical_Literal()}:
+
+@item
+@ref{98d,,Set_Physical_Literal()}:
+
+@item
+@ref{98e,,Get_Fp_Value()}:
+
+@item
+@ref{98f,,Set_Fp_Value()}:
+
+@item
+@ref{990,,Get_Simple_Aggregate_List()}:
+
+@item
+@ref{991,,Set_Simple_Aggregate_List()}:
+
+@item
+@ref{992,,Get_String8_Id()}:
+
+@item
+@ref{993,,Set_String8_Id()}:
+
+@item
+@ref{994,,Get_String_Length()}:
+
+@item
+@ref{995,,Set_String_Length()}:
+
+@item
+@ref{996,,Get_Bit_String_Base()}:
+
+@item
+@ref{997,,Set_Bit_String_Base()}:
+
+@item
+@ref{998,,Get_Has_Signed()}:
+
+@item
+@ref{999,,Set_Has_Signed()}:
+
+@item
+@ref{99a,,Get_Has_Sign()}:
+
+@item
+@ref{99b,,Set_Has_Sign()}:
+
+@item
+@ref{99c,,Get_Has_Length()}:
+
+@item
+@ref{99d,,Set_Has_Length()}:
+
+@item
+@ref{99e,,Get_Literal_Length()}:
+
+@item
+@ref{99f,,Set_Literal_Length()}:
+
+@item
+@ref{9a0,,Get_Literal_Origin()}:
+
+@item
+@ref{9a1,,Set_Literal_Origin()}:
+
+@item
+@ref{9a2,,Get_Range_Origin()}:
+
+@item
+@ref{9a3,,Set_Range_Origin()}:
+
+@item
+@ref{9a4,,Get_Literal_Subtype()}:
+
+@item
+@ref{9a5,,Set_Literal_Subtype()}:
+
+@item
+@ref{9a6,,Get_Allocator_Subtype()}:
+
+@item
+@ref{9a7,,Set_Allocator_Subtype()}:
+
+@item
+@ref{9a8,,Get_Entity_Class()}:
+
+@item
+@ref{9a9,,Set_Entity_Class()}:
+
+@item
+@ref{9aa,,Get_Entity_Name_List()}:
+
+@item
+@ref{9ab,,Set_Entity_Name_List()}:
+
+@item
+@ref{9ac,,Get_Attribute_Designator()}:
+
+@item
+@ref{9ad,,Set_Attribute_Designator()}:
+
+@item
+@ref{9ae,,Get_Attribute_Specification_Chain()}:
+
+@item
+@ref{9af,,Set_Attribute_Specification_Chain()}:
+
+@item
+@ref{9b0,,Get_Attribute_Specification()}:
+
+@item
+@ref{9b1,,Set_Attribute_Specification()}:
+
+@item
+@ref{9b2,,Get_Static_Attribute_Flag()}:
+
+@item
+@ref{9b3,,Set_Static_Attribute_Flag()}:
+
+@item
+@ref{9b4,,Get_Signal_List()}:
+
+@item
+@ref{9b5,,Set_Signal_List()}:
+
+@item
+@ref{9b6,,Get_Quantity_List()}:
+
+@item
+@ref{9b7,,Set_Quantity_List()}:
+
+@item
+@ref{9b8,,Get_Designated_Entity()}:
+
+@item
+@ref{9b9,,Set_Designated_Entity()}:
+
+@item
+@ref{9ba,,Get_Formal()}:
+
+@item
+@ref{9bb,,Set_Formal()}:
+
+@item
+@ref{9bc,,Get_Actual()}:
+
+@item
+@ref{9bd,,Set_Actual()}:
+
+@item
+@ref{9be,,Get_Actual_Conversion()}:
+
+@item
+@ref{9bf,,Set_Actual_Conversion()}:
+
+@item
+@ref{9c0,,Get_Formal_Conversion()}:
+
+@item
+@ref{9c1,,Set_Formal_Conversion()}:
+
+@item
+@ref{9c2,,Get_Whole_Association_Flag()}:
+
+@item
+@ref{9c3,,Set_Whole_Association_Flag()}:
+
+@item
+@ref{9c4,,Get_Collapse_Signal_Flag()}:
+
+@item
+@ref{9c5,,Set_Collapse_Signal_Flag()}:
+
+@item
+@ref{9c6,,Get_Artificial_Flag()}:
+
+@item
+@ref{9c7,,Set_Artificial_Flag()}:
+
+@item
+@ref{9c8,,Get_Open_Flag()}:
+
+@item
+@ref{9c9,,Set_Open_Flag()}:
+
+@item
+@ref{9ca,,Get_After_Drivers_Flag()}:
+
+@item
+@ref{9cb,,Set_After_Drivers_Flag()}:
+
+@item
+@ref{9cc,,Get_We_Value()}:
+
+@item
+@ref{9cd,,Set_We_Value()}:
+
+@item
+@ref{9ce,,Get_Time()}:
+
+@item
+@ref{9cf,,Set_Time()}:
+
+@item
+@ref{9d0,,Get_Associated_Expr()}:
+
+@item
+@ref{9d1,,Set_Associated_Expr()}:
+
+@item
+@ref{9d2,,Get_Associated_Block()}:
+
+@item
+@ref{9d3,,Set_Associated_Block()}:
+
+@item
+@ref{9d4,,Get_Associated_Chain()}:
+
+@item
+@ref{9d5,,Set_Associated_Chain()}:
+
+@item
+@ref{9d6,,Get_Choice_Name()}:
+
+@item
+@ref{9d7,,Set_Choice_Name()}:
+
+@item
+@ref{9d8,,Get_Choice_Expression()}:
+
+@item
+@ref{9d9,,Set_Choice_Expression()}:
+
+@item
+@ref{9da,,Get_Choice_Range()}:
+
+@item
+@ref{9db,,Set_Choice_Range()}:
+
+@item
+@ref{9dc,,Get_Same_Alternative_Flag()}:
+
+@item
+@ref{9dd,,Set_Same_Alternative_Flag()}:
+
+@item
+@ref{9de,,Get_Element_Type_Flag()}:
+
+@item
+@ref{9df,,Set_Element_Type_Flag()}:
+
+@item
+@ref{9e0,,Get_Architecture()}:
+
+@item
+@ref{9e1,,Set_Architecture()}:
+
+@item
+@ref{9e2,,Get_Block_Specification()}:
+
+@item
+@ref{9e3,,Set_Block_Specification()}:
+
+@item
+@ref{9e4,,Get_Prev_Block_Configuration()}:
+
+@item
+@ref{9e5,,Set_Prev_Block_Configuration()}:
+
+@item
+@ref{9e6,,Get_Configuration_Item_Chain()}:
+
+@item
+@ref{9e7,,Set_Configuration_Item_Chain()}:
+
+@item
+@ref{9e8,,Get_Attribute_Value_Chain()}:
+
+@item
+@ref{9e9,,Set_Attribute_Value_Chain()}:
+
+@item
+@ref{9ea,,Get_Spec_Chain()}:
+
+@item
+@ref{9eb,,Set_Spec_Chain()}:
+
+@item
+@ref{9ec,,Get_Value_Chain()}:
+
+@item
+@ref{9ed,,Set_Value_Chain()}:
+
+@item
+@ref{9ee,,Get_Attribute_Value_Spec_Chain()}:
+
+@item
+@ref{9ef,,Set_Attribute_Value_Spec_Chain()}:
+
+@item
+@ref{9f0,,Get_Entity_Name()}:
+
+@item
+@ref{9f1,,Set_Entity_Name()}:
+
+@item
+@ref{9f2,,Get_Package()}:
+
+@item
+@ref{9f3,,Set_Package()}:
+
+@item
+@ref{9f4,,Get_Package_Body()}:
+
+@item
+@ref{9f5,,Set_Package_Body()}:
+
+@item
+@ref{9f6,,Get_Instance_Package_Body()}:
+
+@item
+@ref{9f7,,Set_Instance_Package_Body()}:
+
+@item
+@ref{9f8,,Get_Need_Body()}:
+
+@item
+@ref{9f9,,Set_Need_Body()}:
+
+@item
+@ref{9fa,,Get_Macro_Expanded_Flag()}:
+
+@item
+@ref{9fb,,Set_Macro_Expanded_Flag()}:
+
+@item
+@ref{9fc,,Get_Need_Instance_Bodies()}:
+
+@item
+@ref{9fd,,Set_Need_Instance_Bodies()}:
+
+@item
+@ref{9fe,,Get_Hierarchical_Name()}:
+
+@item
+@ref{9ff,,Set_Hierarchical_Name()}:
+
+@item
+@ref{a00,,Get_Inherit_Spec_Chain()}:
+
+@item
+@ref{a01,,Set_Inherit_Spec_Chain()}:
+
+@item
+@ref{a02,,Get_Vunit_Item_Chain()}:
+
+@item
+@ref{a03,,Set_Vunit_Item_Chain()}:
+
+@item
+@ref{a04,,Get_Bound_Vunit_Chain()}:
+
+@item
+@ref{a05,,Set_Bound_Vunit_Chain()}:
+
+@item
+@ref{a06,,Get_Verification_Block_Configuration()}:
+
+@item
+@ref{a07,,Set_Verification_Block_Configuration()}:
+
+@item
+@ref{a08,,Get_Block_Configuration()}:
+
+@item
+@ref{a09,,Set_Block_Configuration()}:
+
+@item
+@ref{a0a,,Get_Concurrent_Statement_Chain()}:
+
+@item
+@ref{a0b,,Set_Concurrent_Statement_Chain()}:
+
+@item
+@ref{a0c,,Get_Chain()}:
+
+@item
+@ref{a0d,,Set_Chain()}:
+
+@item
+@ref{a0e,,Get_Port_Chain()}:
+
+@item
+@ref{a0f,,Set_Port_Chain()}:
+
+@item
+@ref{a10,,Get_Generic_Chain()}:
+
+@item
+@ref{a11,,Set_Generic_Chain()}:
+
+@item
+@ref{a12,,Get_Type()}:
+
+@item
+@ref{a13,,Set_Type()}:
+
+@item
+@ref{a14,,Get_Subtype_Indication()}:
+
+@item
+@ref{a15,,Set_Subtype_Indication()}:
+
+@item
+@ref{a16,,Get_Discrete_Range()}:
+
+@item
+@ref{a17,,Set_Discrete_Range()}:
+
+@item
+@ref{a18,,Get_Type_Definition()}:
+
+@item
+@ref{a19,,Set_Type_Definition()}:
+
+@item
+@ref{a1a,,Get_Subtype_Definition()}:
+
+@item
+@ref{a1b,,Set_Subtype_Definition()}:
+
+@item
+@ref{a1c,,Get_Incomplete_Type_Declaration()}:
+
+@item
+@ref{a1d,,Set_Incomplete_Type_Declaration()}:
+
+@item
+@ref{a1e,,Get_Interface_Type_Subprograms()}:
+
+@item
+@ref{a1f,,Set_Interface_Type_Subprograms()}:
+
+@item
+@ref{a20,,Get_Nature_Definition()}:
+
+@item
+@ref{a21,,Set_Nature_Definition()}:
+
+@item
+@ref{a22,,Get_Nature()}:
+
+@item
+@ref{a23,,Set_Nature()}:
+
+@item
+@ref{a24,,Get_Subnature_Indication()}:
+
+@item
+@ref{a25,,Set_Subnature_Indication()}:
+
+@item
+@ref{a26,,Get_Mode()}:
+
+@item
+@ref{a27,,Set_Mode()}:
+
+@item
+@ref{a28,,Get_Guarded_Signal_Flag()}:
+
+@item
+@ref{a29,,Set_Guarded_Signal_Flag()}:
+
+@item
+@ref{a2a,,Get_Signal_Kind()}:
+
+@item
+@ref{a2b,,Set_Signal_Kind()}:
+
+@item
+@ref{a2c,,Get_Base_Name()}:
+
+@item
+@ref{a2d,,Set_Base_Name()}:
+
+@item
+@ref{a2e,,Get_Interface_Declaration_Chain()}:
+
+@item
+@ref{a2f,,Set_Interface_Declaration_Chain()}:
+
+@item
+@ref{a30,,Get_Subprogram_Specification()}:
+
+@item
+@ref{a31,,Set_Subprogram_Specification()}:
+
+@item
+@ref{a32,,Get_Sequential_Statement_Chain()}:
+
+@item
+@ref{a33,,Set_Sequential_Statement_Chain()}:
+
+@item
+@ref{a34,,Get_Simultaneous_Statement_Chain()}:
+
+@item
+@ref{a35,,Set_Simultaneous_Statement_Chain()}:
+
+@item
+@ref{a36,,Get_Subprogram_Body()}:
+
+@item
+@ref{a37,,Set_Subprogram_Body()}:
+
+@item
+@ref{a38,,Get_Overload_Number()}:
+
+@item
+@ref{a39,,Set_Overload_Number()}:
+
+@item
+@ref{a3a,,Get_Subprogram_Depth()}:
+
+@item
+@ref{a3b,,Set_Subprogram_Depth()}:
+
+@item
+@ref{a3c,,Get_Subprogram_Hash()}:
+
+@item
+@ref{a3d,,Set_Subprogram_Hash()}:
+
+@item
+@ref{a3e,,Get_Impure_Depth()}:
+
+@item
+@ref{a3f,,Set_Impure_Depth()}:
+
+@item
+@ref{a40,,Get_Return_Type()}:
+
+@item
+@ref{a41,,Set_Return_Type()}:
+
+@item
+@ref{a42,,Get_Implicit_Definition()}:
+
+@item
+@ref{a43,,Set_Implicit_Definition()}:
+
+@item
+@ref{a44,,Get_Uninstantiated_Subprogram_Name()}:
+
+@item
+@ref{a45,,Set_Uninstantiated_Subprogram_Name()}:
+
+@item
+@ref{a46,,Get_Default_Value()}:
+
+@item
+@ref{a47,,Set_Default_Value()}:
+
+@item
+@ref{a48,,Get_Deferred_Declaration()}:
+
+@item
+@ref{a49,,Set_Deferred_Declaration()}:
+
+@item
+@ref{a4a,,Get_Deferred_Declaration_Flag()}:
+
+@item
+@ref{a4b,,Set_Deferred_Declaration_Flag()}:
+
+@item
+@ref{a4c,,Get_Shared_Flag()}:
+
+@item
+@ref{a4d,,Set_Shared_Flag()}:
+
+@item
+@ref{a4e,,Get_Design_Unit()}:
+
+@item
+@ref{a4f,,Set_Design_Unit()}:
+
+@item
+@ref{a50,,Get_Block_Statement()}:
+
+@item
+@ref{a51,,Set_Block_Statement()}:
+
+@item
+@ref{a52,,Get_Signal_Driver()}:
+
+@item
+@ref{a53,,Set_Signal_Driver()}:
+
+@item
+@ref{a54,,Get_Declaration_Chain()}:
+
+@item
+@ref{a55,,Set_Declaration_Chain()}:
+
+@item
+@ref{a56,,Get_File_Logical_Name()}:
+
+@item
+@ref{a57,,Set_File_Logical_Name()}:
+
+@item
+@ref{a58,,Get_File_Open_Kind()}:
+
+@item
+@ref{a59,,Set_File_Open_Kind()}:
+
+@item
+@ref{a5a,,Get_Element_Position()}:
+
+@item
+@ref{a5b,,Set_Element_Position()}:
+
+@item
+@ref{a5c,,Get_Use_Clause_Chain()}:
+
+@item
+@ref{a5d,,Set_Use_Clause_Chain()}:
+
+@item
+@ref{a5e,,Get_Context_Reference_Chain()}:
+
+@item
+@ref{a5f,,Set_Context_Reference_Chain()}:
+
+@item
+@ref{a60,,Get_Selected_Name()}:
+
+@item
+@ref{a61,,Set_Selected_Name()}:
+
+@item
+@ref{a62,,Get_Type_Declarator()}:
+
+@item
+@ref{a63,,Set_Type_Declarator()}:
+
+@item
+@ref{a64,,Get_Complete_Type_Definition()}:
+
+@item
+@ref{a65,,Set_Complete_Type_Definition()}:
+
+@item
+@ref{a66,,Get_Incomplete_Type_Ref_Chain()}:
+
+@item
+@ref{a67,,Set_Incomplete_Type_Ref_Chain()}:
+
+@item
+@ref{a68,,Get_Associated_Type()}:
+
+@item
+@ref{a69,,Set_Associated_Type()}:
+
+@item
+@ref{a6a,,Get_Enumeration_Literal_List()}:
+
+@item
+@ref{a6b,,Set_Enumeration_Literal_List()}:
+
+@item
+@ref{a6c,,Get_Entity_Class_Entry_Chain()}:
+
+@item
+@ref{a6d,,Set_Entity_Class_Entry_Chain()}:
+
+@item
+@ref{a6e,,Get_Group_Constituent_List()}:
+
+@item
+@ref{a6f,,Set_Group_Constituent_List()}:
+
+@item
+@ref{a70,,Get_Unit_Chain()}:
+
+@item
+@ref{a71,,Set_Unit_Chain()}:
+
+@item
+@ref{a72,,Get_Primary_Unit()}:
+
+@item
+@ref{a73,,Set_Primary_Unit()}:
+
+@item
+@ref{a74,,Get_Identifier()}:
+
+@item
+@ref{a75,,Set_Identifier()}:
+
+@item
+@ref{a76,,Get_Label()}:
+
+@item
+@ref{a77,,Set_Label()}:
+
+@item
+@ref{a78,,Get_Visible_Flag()}:
+
+@item
+@ref{a79,,Set_Visible_Flag()}:
+
+@item
+@ref{a7a,,Get_Range_Constraint()}:
+
+@item
+@ref{a7b,,Set_Range_Constraint()}:
+
+@item
+@ref{a7c,,Get_Direction()}:
+
+@item
+@ref{a7d,,Set_Direction()}:
+
+@item
+@ref{a7e,,Get_Left_Limit()}:
+
+@item
+@ref{a7f,,Set_Left_Limit()}:
+
+@item
+@ref{a80,,Get_Right_Limit()}:
+
+@item
+@ref{a81,,Set_Right_Limit()}:
+
+@item
+@ref{a82,,Get_Left_Limit_Expr()}:
+
+@item
+@ref{a83,,Set_Left_Limit_Expr()}:
+
+@item
+@ref{a84,,Get_Right_Limit_Expr()}:
+
+@item
+@ref{a85,,Set_Right_Limit_Expr()}:
+
+@item
+@ref{a86,,Get_Parent_Type()}:
+
+@item
+@ref{a87,,Set_Parent_Type()}:
+
+@item
+@ref{a88,,Get_Simple_Nature()}:
+
+@item
+@ref{a89,,Set_Simple_Nature()}:
+
+@item
+@ref{a8a,,Get_Base_Nature()}:
+
+@item
+@ref{a8b,,Set_Base_Nature()}:
+
+@item
+@ref{a8c,,Get_Resolution_Indication()}:
+
+@item
+@ref{a8d,,Set_Resolution_Indication()}:
+
+@item
+@ref{a8e,,Get_Record_Element_Resolution_Chain()}:
+
+@item
+@ref{a8f,,Set_Record_Element_Resolution_Chain()}:
+
+@item
+@ref{a90,,Get_Tolerance()}:
+
+@item
+@ref{a91,,Set_Tolerance()}:
+
+@item
+@ref{a92,,Get_Plus_Terminal_Name()}:
+
+@item
+@ref{a93,,Set_Plus_Terminal_Name()}:
+
+@item
+@ref{a94,,Get_Minus_Terminal_Name()}:
+
+@item
+@ref{a95,,Set_Minus_Terminal_Name()}:
+
+@item
+@ref{a96,,Get_Plus_Terminal()}:
+
+@item
+@ref{a97,,Set_Plus_Terminal()}:
+
+@item
+@ref{a98,,Get_Minus_Terminal()}:
+
+@item
+@ref{a99,,Set_Minus_Terminal()}:
+
+@item
+@ref{a9a,,Get_Magnitude_Expression()}:
+
+@item
+@ref{a9b,,Set_Magnitude_Expression()}:
+
+@item
+@ref{a9c,,Get_Phase_Expression()}:
+
+@item
+@ref{a9d,,Set_Phase_Expression()}:
+
+@item
+@ref{a9e,,Get_Power_Expression()}:
+
+@item
+@ref{a9f,,Set_Power_Expression()}:
+
+@item
+@ref{aa0,,Get_Simultaneous_Left()}:
+
+@item
+@ref{aa1,,Set_Simultaneous_Left()}:
+
+@item
+@ref{aa2,,Get_Simultaneous_Right()}:
+
+@item
+@ref{aa3,,Set_Simultaneous_Right()}:
+
+@item
+@ref{aa4,,Get_Text_File_Flag()}:
+
+@item
+@ref{aa5,,Set_Text_File_Flag()}:
+
+@item
+@ref{aa6,,Get_Only_Characters_Flag()}:
+
+@item
+@ref{aa7,,Set_Only_Characters_Flag()}:
+
+@item
+@ref{aa8,,Get_Is_Character_Type()}:
+
+@item
+@ref{aa9,,Set_Is_Character_Type()}:
+
+@item
+@ref{aaa,,Get_Nature_Staticness()}:
+
+@item
+@ref{aab,,Set_Nature_Staticness()}:
+
+@item
+@ref{aac,,Get_Type_Staticness()}:
+
+@item
+@ref{aad,,Set_Type_Staticness()}:
+
+@item
+@ref{aae,,Get_Constraint_State()}:
+
+@item
+@ref{aaf,,Set_Constraint_State()}:
+
+@item
+@ref{ab0,,Get_Index_Subtype_List()}:
+
+@item
+@ref{ab1,,Set_Index_Subtype_List()}:
+
+@item
+@ref{ab2,,Get_Index_Subtype_Definition_List()}:
+
+@item
+@ref{ab3,,Set_Index_Subtype_Definition_List()}:
+
+@item
+@ref{ab4,,Get_Element_Subtype_Indication()}:
+
+@item
+@ref{ab5,,Set_Element_Subtype_Indication()}:
+
+@item
+@ref{ab6,,Get_Element_Subtype()}:
+
+@item
+@ref{ab7,,Set_Element_Subtype()}:
+
+@item
+@ref{ab8,,Get_Element_Subnature_Indication()}:
+
+@item
+@ref{ab9,,Set_Element_Subnature_Indication()}:
+
+@item
+@ref{aba,,Get_Element_Subnature()}:
+
+@item
+@ref{abb,,Set_Element_Subnature()}:
+
+@item
+@ref{abc,,Get_Index_Constraint_List()}:
+
+@item
+@ref{abd,,Set_Index_Constraint_List()}:
+
+@item
+@ref{abe,,Get_Array_Element_Constraint()}:
+
+@item
+@ref{abf,,Set_Array_Element_Constraint()}:
+
+@item
+@ref{ac0,,Get_Has_Array_Constraint_Flag()}:
+
+@item
+@ref{ac1,,Set_Has_Array_Constraint_Flag()}:
+
+@item
+@ref{ac2,,Get_Has_Element_Constraint_Flag()}:
+
+@item
+@ref{ac3,,Set_Has_Element_Constraint_Flag()}:
+
+@item
+@ref{ac4,,Get_Elements_Declaration_List()}:
+
+@item
+@ref{ac5,,Set_Elements_Declaration_List()}:
+
+@item
+@ref{ac6,,Get_Owned_Elements_Chain()}:
+
+@item
+@ref{ac7,,Set_Owned_Elements_Chain()}:
+
+@item
+@ref{ac8,,Get_Designated_Type()}:
+
+@item
+@ref{ac9,,Set_Designated_Type()}:
+
+@item
+@ref{aca,,Get_Designated_Subtype_Indication()}:
+
+@item
+@ref{acb,,Set_Designated_Subtype_Indication()}:
+
+@item
+@ref{acc,,Get_Index_List()}:
+
+@item
+@ref{acd,,Set_Index_List()}:
+
+@item
+@ref{ace,,Get_Reference()}:
+
+@item
+@ref{acf,,Set_Reference()}:
+
+@item
+@ref{ad0,,Get_Nature_Declarator()}:
+
+@item
+@ref{ad1,,Set_Nature_Declarator()}:
+
+@item
+@ref{ad2,,Get_Across_Type_Mark()}:
+
+@item
+@ref{ad3,,Set_Across_Type_Mark()}:
+
+@item
+@ref{ad4,,Get_Through_Type_Mark()}:
+
+@item
+@ref{ad5,,Set_Through_Type_Mark()}:
+
+@item
+@ref{ad6,,Get_Across_Type_Definition()}:
+
+@item
+@ref{ad7,,Set_Across_Type_Definition()}:
+
+@item
+@ref{ad8,,Get_Through_Type_Definition()}:
+
+@item
+@ref{ad9,,Set_Through_Type_Definition()}:
+
+@item
+@ref{ada,,Get_Across_Type()}:
+
+@item
+@ref{adb,,Set_Across_Type()}:
+
+@item
+@ref{adc,,Get_Through_Type()}:
+
+@item
+@ref{add,,Set_Through_Type()}:
+
+@item
+@ref{ade,,Get_Target()}:
+
+@item
+@ref{adf,,Set_Target()}:
+
+@item
+@ref{ae0,,Get_Waveform_Chain()}:
+
+@item
+@ref{ae1,,Set_Waveform_Chain()}:
+
+@item
+@ref{ae2,,Get_Guard()}:
+
+@item
+@ref{ae3,,Set_Guard()}:
+
+@item
+@ref{ae4,,Get_Delay_Mechanism()}:
+
+@item
+@ref{ae5,,Set_Delay_Mechanism()}:
+
+@item
+@ref{ae6,,Get_Reject_Time_Expression()}:
+
+@item
+@ref{ae7,,Set_Reject_Time_Expression()}:
+
+@item
+@ref{ae8,,Get_Force_Mode()}:
+
+@item
+@ref{ae9,,Set_Force_Mode()}:
+
+@item
+@ref{aea,,Get_Has_Force_Mode()}:
+
+@item
+@ref{aeb,,Set_Has_Force_Mode()}:
+
+@item
+@ref{aec,,Get_Sensitivity_List()}:
+
+@item
+@ref{aed,,Set_Sensitivity_List()}:
+
+@item
+@ref{aee,,Get_Process_Origin()}:
+
+@item
+@ref{aef,,Set_Process_Origin()}:
+
+@item
+@ref{af0,,Get_Package_Origin()}:
+
+@item
+@ref{af1,,Set_Package_Origin()}:
+
+@item
+@ref{af2,,Get_Condition_Clause()}:
+
+@item
+@ref{af3,,Set_Condition_Clause()}:
+
+@item
+@ref{af4,,Get_Break_Element()}:
+
+@item
+@ref{af5,,Set_Break_Element()}:
+
+@item
+@ref{af6,,Get_Selector_Quantity()}:
+
+@item
+@ref{af7,,Set_Selector_Quantity()}:
+
+@item
+@ref{af8,,Get_Break_Quantity()}:
+
+@item
+@ref{af9,,Set_Break_Quantity()}:
+
+@item
+@ref{afa,,Get_Timeout_Clause()}:
+
+@item
+@ref{afb,,Set_Timeout_Clause()}:
+
+@item
+@ref{afc,,Get_Postponed_Flag()}:
+
+@item
+@ref{afd,,Set_Postponed_Flag()}:
+
+@item
+@ref{afe,,Get_Callees_List()}:
+
+@item
+@ref{aff,,Set_Callees_List()}:
+
+@item
+@ref{b00,,Get_Passive_Flag()}:
+
+@item
+@ref{b01,,Set_Passive_Flag()}:
+
+@item
+@ref{b02,,Get_Resolution_Function_Flag()}:
+
+@item
+@ref{b03,,Set_Resolution_Function_Flag()}:
+
+@item
+@ref{b04,,Get_Wait_State()}:
+
+@item
+@ref{b05,,Set_Wait_State()}:
+
+@item
+@ref{b06,,Get_All_Sensitized_State()}:
+
+@item
+@ref{b07,,Set_All_Sensitized_State()}:
+
+@item
+@ref{b08,,Get_Seen_Flag()}:
+
+@item
+@ref{b09,,Set_Seen_Flag()}:
+
+@item
+@ref{b0a,,Get_Pure_Flag()}:
+
+@item
+@ref{b0b,,Set_Pure_Flag()}:
+
+@item
+@ref{b0c,,Get_Foreign_Flag()}:
+
+@item
+@ref{b0d,,Set_Foreign_Flag()}:
+
+@item
+@ref{b0e,,Get_Resolved_Flag()}:
+
+@item
+@ref{b0f,,Set_Resolved_Flag()}:
+
+@item
+@ref{b10,,Get_Signal_Type_Flag()}:
+
+@item
+@ref{b11,,Set_Signal_Type_Flag()}:
+
+@item
+@ref{b12,,Get_Has_Signal_Flag()}:
+
+@item
+@ref{b13,,Set_Has_Signal_Flag()}:
+
+@item
+@ref{b14,,Get_Purity_State()}:
+
+@item
+@ref{b15,,Set_Purity_State()}:
+
+@item
+@ref{b16,,Get_Elab_Flag()}:
+
+@item
+@ref{b17,,Set_Elab_Flag()}:
+
+@item
+@ref{b18,,Get_Vendor_Library_Flag()}:
+
+@item
+@ref{b19,,Set_Vendor_Library_Flag()}:
+
+@item
+@ref{b1a,,Get_Configuration_Mark_Flag()}:
+
+@item
+@ref{b1b,,Set_Configuration_Mark_Flag()}:
+
+@item
+@ref{b1c,,Get_Configuration_Done_Flag()}:
+
+@item
+@ref{b1d,,Set_Configuration_Done_Flag()}:
+
+@item
+@ref{b1e,,Get_Index_Constraint_Flag()}:
+
+@item
+@ref{b1f,,Set_Index_Constraint_Flag()}:
+
+@item
+@ref{b20,,Get_Hide_Implicit_Flag()}:
+
+@item
+@ref{b21,,Set_Hide_Implicit_Flag()}:
+
+@item
+@ref{b22,,Get_Assertion_Condition()}:
+
+@item
+@ref{b23,,Set_Assertion_Condition()}:
+
+@item
+@ref{b24,,Get_Report_Expression()}:
+
+@item
+@ref{b25,,Set_Report_Expression()}:
+
+@item
+@ref{b26,,Get_Severity_Expression()}:
+
+@item
+@ref{b27,,Set_Severity_Expression()}:
+
+@item
+@ref{b28,,Get_Instantiated_Unit()}:
+
+@item
+@ref{b29,,Set_Instantiated_Unit()}:
+
+@item
+@ref{b2a,,Get_Generic_Map_Aspect_Chain()}:
+
+@item
+@ref{b2b,,Set_Generic_Map_Aspect_Chain()}:
+
+@item
+@ref{b2c,,Get_Port_Map_Aspect_Chain()}:
+
+@item
+@ref{b2d,,Set_Port_Map_Aspect_Chain()}:
+
+@item
+@ref{b2e,,Get_Configuration_Name()}:
+
+@item
+@ref{b2f,,Set_Configuration_Name()}:
+
+@item
+@ref{b30,,Get_Component_Configuration()}:
+
+@item
+@ref{b31,,Set_Component_Configuration()}:
+
+@item
+@ref{b32,,Get_Configuration_Specification()}:
+
+@item
+@ref{b33,,Set_Configuration_Specification()}:
+
+@item
+@ref{b34,,Get_Default_Binding_Indication()}:
+
+@item
+@ref{b35,,Set_Default_Binding_Indication()}:
+
+@item
+@ref{b36,,Get_Default_Configuration_Declaration()}:
+
+@item
+@ref{b37,,Set_Default_Configuration_Declaration()}:
+
+@item
+@ref{b38,,Get_Expression()}:
+
+@item
+@ref{b39,,Set_Expression()}:
+
+@item
+@ref{b3a,,Get_Conditional_Expression_Chain()}:
+
+@item
+@ref{b3b,,Set_Conditional_Expression_Chain()}:
+
+@item
+@ref{b3c,,Get_Allocator_Designated_Type()}:
+
+@item
+@ref{b3d,,Set_Allocator_Designated_Type()}:
+
+@item
+@ref{b3e,,Get_Selected_Waveform_Chain()}:
+
+@item
+@ref{b3f,,Set_Selected_Waveform_Chain()}:
+
+@item
+@ref{b40,,Get_Conditional_Waveform_Chain()}:
+
+@item
+@ref{b41,,Set_Conditional_Waveform_Chain()}:
+
+@item
+@ref{b42,,Get_Guard_Expression()}:
+
+@item
+@ref{b43,,Set_Guard_Expression()}:
+
+@item
+@ref{b44,,Get_Guard_Decl()}:
+
+@item
+@ref{b45,,Set_Guard_Decl()}:
+
+@item
+@ref{b46,,Get_Guard_Sensitivity_List()}:
+
+@item
+@ref{b47,,Set_Guard_Sensitivity_List()}:
+
+@item
+@ref{b48,,Get_Signal_Attribute_Chain()}:
+
+@item
+@ref{b49,,Set_Signal_Attribute_Chain()}:
+
+@item
+@ref{b4a,,Get_Block_Block_Configuration()}:
+
+@item
+@ref{b4b,,Set_Block_Block_Configuration()}:
+
+@item
+@ref{b4c,,Get_Package_Header()}:
+
+@item
+@ref{b4d,,Set_Package_Header()}:
+
+@item
+@ref{b4e,,Get_Block_Header()}:
+
+@item
+@ref{b4f,,Set_Block_Header()}:
+
+@item
+@ref{b50,,Get_Uninstantiated_Package_Name()}:
+
+@item
+@ref{b51,,Set_Uninstantiated_Package_Name()}:
+
+@item
+@ref{b52,,Get_Uninstantiated_Package_Decl()}:
+
+@item
+@ref{b53,,Set_Uninstantiated_Package_Decl()}:
+
+@item
+@ref{b54,,Get_Instance_Source_File()}:
+
+@item
+@ref{b55,,Set_Instance_Source_File()}:
+
+@item
+@ref{b56,,Get_Generate_Block_Configuration()}:
+
+@item
+@ref{b57,,Set_Generate_Block_Configuration()}:
+
+@item
+@ref{b58,,Get_Generate_Statement_Body()}:
+
+@item
+@ref{b59,,Set_Generate_Statement_Body()}:
+
+@item
+@ref{b5a,,Get_Alternative_Label()}:
+
+@item
+@ref{b5b,,Set_Alternative_Label()}:
+
+@item
+@ref{b5c,,Get_Generate_Else_Clause()}:
+
+@item
+@ref{b5d,,Set_Generate_Else_Clause()}:
+
+@item
+@ref{b5e,,Get_Condition()}:
+
+@item
+@ref{b5f,,Set_Condition()}:
+
+@item
+@ref{b60,,Get_Else_Clause()}:
+
+@item
+@ref{b61,,Set_Else_Clause()}:
+
+@item
+@ref{b62,,Get_Parameter_Specification()}:
+
+@item
+@ref{b63,,Set_Parameter_Specification()}:
+
+@item
+@ref{b64,,Get_Parent()}:
+
+@item
+@ref{b65,,Set_Parent()}:
+
+@item
+@ref{b66,,Get_Loop_Label()}:
+
+@item
+@ref{b67,,Set_Loop_Label()}:
+
+@item
+@ref{b68,,Get_Exit_Flag()}:
+
+@item
+@ref{b69,,Set_Exit_Flag()}:
+
+@item
+@ref{b6a,,Get_Next_Flag()}:
+
+@item
+@ref{b6b,,Set_Next_Flag()}:
+
+@item
+@ref{b6c,,Get_Component_Name()}:
+
+@item
+@ref{b6d,,Set_Component_Name()}:
+
+@item
+@ref{b6e,,Get_Instantiation_List()}:
+
+@item
+@ref{b6f,,Set_Instantiation_List()}:
+
+@item
+@ref{b70,,Get_Entity_Aspect()}:
+
+@item
+@ref{b71,,Set_Entity_Aspect()}:
+
+@item
+@ref{b72,,Get_Default_Entity_Aspect()}:
+
+@item
+@ref{b73,,Set_Default_Entity_Aspect()}:
+
+@item
+@ref{b74,,Get_Binding_Indication()}:
+
+@item
+@ref{b75,,Set_Binding_Indication()}:
+
+@item
+@ref{b76,,Get_Named_Entity()}:
+
+@item
+@ref{b77,,Set_Named_Entity()}:
+
+@item
+@ref{b78,,Get_Referenced_Name()}:
+
+@item
+@ref{b79,,Set_Referenced_Name()}:
+
+@item
+@ref{b7a,,Get_Expr_Staticness()}:
+
+@item
+@ref{b7b,,Set_Expr_Staticness()}:
+
+@item
+@ref{b7c,,Get_Scalar_Size()}:
+
+@item
+@ref{b7d,,Set_Scalar_Size()}:
+
+@item
+@ref{b7e,,Get_Error_Origin()}:
+
+@item
+@ref{b7f,,Set_Error_Origin()}:
+
+@item
+@ref{b80,,Get_Operand()}:
+
+@item
+@ref{b81,,Set_Operand()}:
+
+@item
+@ref{b82,,Get_Left()}:
+
+@item
+@ref{b83,,Set_Left()}:
+
+@item
+@ref{b84,,Get_Right()}:
+
+@item
+@ref{b85,,Set_Right()}:
+
+@item
+@ref{b86,,Get_Unit_Name()}:
+
+@item
+@ref{b87,,Set_Unit_Name()}:
+
+@item
+@ref{b88,,Get_Name()}:
+
+@item
+@ref{b89,,Set_Name()}:
+
+@item
+@ref{b8a,,Get_Group_Template_Name()}:
+
+@item
+@ref{b8b,,Set_Group_Template_Name()}:
+
+@item
+@ref{b8c,,Get_Name_Staticness()}:
+
+@item
+@ref{b8d,,Set_Name_Staticness()}:
+
+@item
+@ref{b8e,,Get_Prefix()}:
+
+@item
+@ref{b8f,,Set_Prefix()}:
+
+@item
+@ref{b90,,Get_Signature_Prefix()}:
+
+@item
+@ref{b91,,Set_Signature_Prefix()}:
+
+@item
+@ref{b92,,Get_External_Pathname()}:
+
+@item
+@ref{b93,,Set_External_Pathname()}:
+
+@item
+@ref{b94,,Get_Pathname_Suffix()}:
+
+@item
+@ref{b95,,Set_Pathname_Suffix()}:
+
+@item
+@ref{b96,,Get_Pathname_Expression()}:
+
+@item
+@ref{b97,,Set_Pathname_Expression()}:
+
+@item
+@ref{b98,,Get_In_Formal_Flag()}:
+
+@item
+@ref{b99,,Set_In_Formal_Flag()}:
+
+@item
+@ref{b9a,,Get_Slice_Subtype()}:
+
+@item
+@ref{b9b,,Set_Slice_Subtype()}:
+
+@item
+@ref{b9c,,Get_Suffix()}:
+
+@item
+@ref{b9d,,Set_Suffix()}:
+
+@item
+@ref{b9e,,Get_Index_Subtype()}:
+
+@item
+@ref{b9f,,Set_Index_Subtype()}:
+
+@item
+@ref{ba0,,Get_Parameter()}:
+
+@item
+@ref{ba1,,Set_Parameter()}:
+
+@item
+@ref{ba2,,Get_Parameter_2()}:
+
+@item
+@ref{ba3,,Set_Parameter_2()}:
+
+@item
+@ref{ba4,,Get_Parameter_3()}:
+
+@item
+@ref{ba5,,Set_Parameter_3()}:
+
+@item
+@ref{ba6,,Get_Parameter_4()}:
+
+@item
+@ref{ba7,,Set_Parameter_4()}:
+
+@item
+@ref{ba8,,Get_Attr_Chain()}:
+
+@item
+@ref{ba9,,Set_Attr_Chain()}:
+
+@item
+@ref{baa,,Get_Signal_Attribute_Declaration()}:
+
+@item
+@ref{bab,,Set_Signal_Attribute_Declaration()}:
+
+@item
+@ref{bac,,Get_Actual_Type()}:
+
+@item
+@ref{bad,,Set_Actual_Type()}:
+
+@item
+@ref{bae,,Get_Actual_Type_Definition()}:
+
+@item
+@ref{baf,,Set_Actual_Type_Definition()}:
+
+@item
+@ref{bb0,,Get_Association_Chain()}:
+
+@item
+@ref{bb1,,Set_Association_Chain()}:
+
+@item
+@ref{bb2,,Get_Individual_Association_Chain()}:
+
+@item
+@ref{bb3,,Set_Individual_Association_Chain()}:
+
+@item
+@ref{bb4,,Get_Subprogram_Association_Chain()}:
+
+@item
+@ref{bb5,,Set_Subprogram_Association_Chain()}:
+
+@item
+@ref{bb6,,Get_Aggregate_Info()}:
+
+@item
+@ref{bb7,,Set_Aggregate_Info()}:
+
+@item
+@ref{bb8,,Get_Sub_Aggregate_Info()}:
+
+@item
+@ref{bb9,,Set_Sub_Aggregate_Info()}:
+
+@item
+@ref{bba,,Get_Aggr_Dynamic_Flag()}:
+
+@item
+@ref{bbb,,Set_Aggr_Dynamic_Flag()}:
+
+@item
+@ref{bbc,,Get_Aggr_Min_Length()}:
+
+@item
+@ref{bbd,,Set_Aggr_Min_Length()}:
+
+@item
+@ref{bbe,,Get_Aggr_Low_Limit()}:
+
+@item
+@ref{bbf,,Set_Aggr_Low_Limit()}:
+
+@item
+@ref{bc0,,Get_Aggr_High_Limit()}:
+
+@item
+@ref{bc1,,Set_Aggr_High_Limit()}:
+
+@item
+@ref{bc2,,Get_Aggr_Others_Flag()}:
+
+@item
+@ref{bc3,,Set_Aggr_Others_Flag()}:
+
+@item
+@ref{bc4,,Get_Aggr_Named_Flag()}:
+
+@item
+@ref{bc5,,Set_Aggr_Named_Flag()}:
+
+@item
+@ref{bc6,,Get_Aggregate_Expand_Flag()}:
+
+@item
+@ref{bc7,,Set_Aggregate_Expand_Flag()}:
+
+@item
+@ref{bc8,,Get_Association_Choices_Chain()}:
+
+@item
+@ref{bc9,,Set_Association_Choices_Chain()}:
+
+@item
+@ref{bca,,Get_Case_Statement_Alternative_Chain()}:
+
+@item
+@ref{bcb,,Set_Case_Statement_Alternative_Chain()}:
+
+@item
+@ref{bcc,,Get_Choice_Staticness()}:
+
+@item
+@ref{bcd,,Set_Choice_Staticness()}:
+
+@item
+@ref{bce,,Get_Procedure_Call()}:
+
+@item
+@ref{bcf,,Set_Procedure_Call()}:
+
+@item
+@ref{bd0,,Get_Implementation()}:
+
+@item
+@ref{bd1,,Set_Implementation()}:
+
+@item
+@ref{bd2,,Get_Parameter_Association_Chain()}:
+
+@item
+@ref{bd3,,Set_Parameter_Association_Chain()}:
+
+@item
+@ref{bd4,,Get_Method_Object()}:
+
+@item
+@ref{bd5,,Set_Method_Object()}:
+
+@item
+@ref{bd6,,Get_Subtype_Type_Mark()}:
+
+@item
+@ref{bd7,,Set_Subtype_Type_Mark()}:
+
+@item
+@ref{bd8,,Get_Subnature_Nature_Mark()}:
+
+@item
+@ref{bd9,,Set_Subnature_Nature_Mark()}:
+
+@item
+@ref{bda,,Get_Type_Conversion_Subtype()}:
+
+@item
+@ref{bdb,,Set_Type_Conversion_Subtype()}:
+
+@item
+@ref{bdc,,Get_Type_Mark()}:
+
+@item
+@ref{bdd,,Set_Type_Mark()}:
+
+@item
+@ref{bde,,Get_File_Type_Mark()}:
+
+@item
+@ref{bdf,,Set_File_Type_Mark()}:
+
+@item
+@ref{be0,,Get_Return_Type_Mark()}:
+
+@item
+@ref{be1,,Set_Return_Type_Mark()}:
+
+@item
+@ref{be2,,Get_Has_Disconnect_Flag()}:
+
+@item
+@ref{be3,,Set_Has_Disconnect_Flag()}:
+
+@item
+@ref{be4,,Get_Has_Active_Flag()}:
+
+@item
+@ref{be5,,Set_Has_Active_Flag()}:
+
+@item
+@ref{be6,,Get_Is_Within_Flag()}:
+
+@item
+@ref{be7,,Set_Is_Within_Flag()}:
+
+@item
+@ref{be8,,Get_Type_Marks_List()}:
+
+@item
+@ref{be9,,Set_Type_Marks_List()}:
+
+@item
+@ref{bea,,Get_Implicit_Alias_Flag()}:
+
+@item
+@ref{beb,,Set_Implicit_Alias_Flag()}:
+
+@item
+@ref{bec,,Get_Alias_Signature()}:
+
+@item
+@ref{bed,,Set_Alias_Signature()}:
+
+@item
+@ref{bee,,Get_Attribute_Signature()}:
+
+@item
+@ref{bef,,Set_Attribute_Signature()}:
+
+@item
+@ref{bf0,,Get_Overload_List()}:
+
+@item
+@ref{bf1,,Set_Overload_List()}:
+
+@item
+@ref{bf2,,Get_Simple_Name_Identifier()}:
+
+@item
+@ref{bf3,,Set_Simple_Name_Identifier()}:
+
+@item
+@ref{bf4,,Get_Simple_Name_Subtype()}:
+
+@item
+@ref{bf5,,Set_Simple_Name_Subtype()}:
+
+@item
+@ref{bf6,,Get_Protected_Type_Body()}:
+
+@item
+@ref{bf7,,Set_Protected_Type_Body()}:
+
+@item
+@ref{bf8,,Get_Protected_Type_Declaration()}:
+
+@item
+@ref{bf9,,Set_Protected_Type_Declaration()}:
+
+@item
+@ref{bfa,,Get_Use_Flag()}:
+
+@item
+@ref{bfb,,Set_Use_Flag()}:
+
+@item
+@ref{bfc,,Get_End_Has_Reserved_Id()}:
+
+@item
+@ref{bfd,,Set_End_Has_Reserved_Id()}:
+
+@item
+@ref{bfe,,Get_End_Has_Identifier()}:
+
+@item
+@ref{bff,,Set_End_Has_Identifier()}:
+
+@item
+@ref{c00,,Get_End_Has_Postponed()}:
+
+@item
+@ref{c01,,Set_End_Has_Postponed()}:
+
+@item
+@ref{c02,,Get_Has_Label()}:
+
+@item
+@ref{c03,,Set_Has_Label()}:
+
+@item
+@ref{c04,,Get_Has_Begin()}:
+
+@item
+@ref{c05,,Set_Has_Begin()}:
+
+@item
+@ref{c06,,Get_Has_End()}:
+
+@item
+@ref{c07,,Set_Has_End()}:
+
+@item
+@ref{c08,,Get_Has_Is()}:
+
+@item
+@ref{c09,,Set_Has_Is()}:
+
+@item
+@ref{c0a,,Get_Has_Pure()}:
+
+@item
+@ref{c0b,,Set_Has_Pure()}:
+
+@item
+@ref{c0c,,Get_Has_Body()}:
+
+@item
+@ref{c0d,,Set_Has_Body()}:
+
+@item
+@ref{c0e,,Get_Has_Parameter()}:
+
+@item
+@ref{c0f,,Set_Has_Parameter()}:
+
+@item
+@ref{c10,,Get_Has_Component()}:
+
+@item
+@ref{c11,,Set_Has_Component()}:
+
+@item
+@ref{c12,,Get_Has_Identifier_List()}:
+
+@item
+@ref{c13,,Set_Has_Identifier_List()}:
+
+@item
+@ref{c14,,Get_Has_Mode()}:
+
+@item
+@ref{c15,,Set_Has_Mode()}:
+
+@item
+@ref{c16,,Get_Has_Class()}:
+
+@item
+@ref{c17,,Set_Has_Class()}:
+
+@item
+@ref{c18,,Get_Has_Delay_Mechanism()}:
+
+@item
+@ref{c19,,Set_Has_Delay_Mechanism()}:
+
+@item
+@ref{c1a,,Get_Suspend_Flag()}:
+
+@item
+@ref{c1b,,Set_Suspend_Flag()}:
+
+@item
+@ref{c1c,,Get_Is_Ref()}:
+
+@item
+@ref{c1d,,Set_Is_Ref()}:
+
+@item
+@ref{c1e,,Get_Is_Forward_Ref()}:
+
+@item
+@ref{c1f,,Set_Is_Forward_Ref()}:
+
+@item
+@ref{c20,,Get_Psl_Property()}:
+
+@item
+@ref{c21,,Set_Psl_Property()}:
+
+@item
+@ref{c22,,Get_Psl_Sequence()}:
+
+@item
+@ref{c23,,Set_Psl_Sequence()}:
+
+@item
+@ref{c24,,Get_Psl_Declaration()}:
+
+@item
+@ref{c25,,Set_Psl_Declaration()}:
+
+@item
+@ref{c26,,Get_Psl_Expression()}:
+
+@item
+@ref{c27,,Set_Psl_Expression()}:
+
+@item
+@ref{c28,,Get_Psl_Boolean()}:
+
+@item
+@ref{c29,,Set_Psl_Boolean()}:
+
+@item
+@ref{c2a,,Get_PSL_Clock()}:
+
+@item
+@ref{c2b,,Set_PSL_Clock()}:
+
+@item
+@ref{c2c,,Get_PSL_NFA()}:
+
+@item
+@ref{c2d,,Set_PSL_NFA()}:
+
+@item
+@ref{c2e,,Get_PSL_Nbr_States()}:
+
+@item
+@ref{c2f,,Set_PSL_Nbr_States()}:
+
+@item
+@ref{c30,,Get_PSL_Clock_Sensitivity()}:
+
+@item
+@ref{c31,,Set_PSL_Clock_Sensitivity()}:
+
+@item
+@ref{c32,,Get_PSL_EOS_Flag()}:
+
+@item
+@ref{c33,,Set_PSL_EOS_Flag()}:
+
+@item
+@ref{c34,,Get_Count_Expression()}:
+
+@item
+@ref{c35,,Set_Count_Expression()}:
+
+@item
+@ref{c36,,Get_Clock_Expression()}:
+
+@item
+@ref{c37,,Set_Clock_Expression()}:
+
+@item
+@ref{c38,,Get_Default_Clock()}:
+
+@item
+@ref{c39,,Set_Default_Clock()}:
+
+@item
+@ref{c3a,,Get_Foreign_Node()}:
+
+@item
+@ref{c3b,,Set_Foreign_Node()}:
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Iir_Kind (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind}@anchor{517}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kind (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-3e2b2bf02d4728cbd3c0c38f87e974312fa633ce,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Unused (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unused}@anchor{c3c}
+@deffn {Attribute} Unused = 0
@end deffn
-@node Misc commands,File commands,Environment variables,Command Reference
-@anchor{references/CommandReference misc-commands}@anchor{ff}
-@section Misc commands
+@geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Error}@anchor{c3d}
+@deffn {Attribute} Error = 1
+@end deffn
+@geindex Design_File (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_File}@anchor{c3e}
+@deffn {Attribute} Design_File = 2
+@end deffn
-There are a few GHDL commands which are seldom useful.
+@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_Unit}@anchor{c3f}
+@deffn {Attribute} Design_Unit = 3
+@end deffn
-@geindex cmd help
+@geindex Foreign_Module (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Module}@anchor{c40}
+@deffn {Attribute} Foreign_Module = 4
+@end deffn
-@menu
-* Help [-h]::
-* Display config [--disp-config]::
-* Display standard [--disp-standard]::
-* Version [--version]::
+@geindex Library_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Clause}@anchor{c41}
+@deffn {Attribute} Library_Clause = 5
+@end deffn
-@end menu
+@geindex Use_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Use_Clause}@anchor{c42}
+@deffn {Attribute} Use_Clause = 6
+@end deffn
-@node Help [-h],Display config [--disp-config],,Misc commands
-@anchor{references/CommandReference help-h}@anchor{100}
-@subsection Help [@code{-h}]
+@geindex Context_Reference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Reference}@anchor{c43}
+@deffn {Attribute} Context_Reference = 7
+@end deffn
+@geindex Integer_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Literal}@anchor{c44}
+@deffn {Attribute} Integer_Literal = 8
+@end deffn
-@geindex ghdl command line option; --help@comma{} -h
-@anchor{references/CommandReference cmdoption-ghdl-help}@anchor{101}
-@deffn {Option} @w{-}@w{-}help, @w{-}h
+@geindex Floating_Point_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Point_Literal}@anchor{c45}
+@deffn {Attribute} Floating_Point_Literal = 9
@end deffn
-Display (on the standard output) a short description of the all the commands
-available. If the help switch is followed by a command switch, then options
-for that second command are displayed:
+@geindex Null_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Literal}@anchor{c46}
+@deffn {Attribute} Null_Literal = 10
+@end deffn
+
+@geindex String_Literal8 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind String_Literal8}@anchor{c47}
+@deffn {Attribute} String_Literal8 = 11
+@end deffn
+
+@geindex Physical_Int_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Int_Literal}@anchor{c48}
+@deffn {Attribute} Physical_Int_Literal = 12
+@end deffn
+
+@geindex Physical_Fp_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Fp_Literal}@anchor{c49}
+@deffn {Attribute} Physical_Fp_Literal = 13
+@end deffn
+
+@geindex Simple_Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Aggregate}@anchor{c4a}
+@deffn {Attribute} Simple_Aggregate = 14
+@end deffn
+
+@geindex Overflow_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overflow_Literal}@anchor{c4b}
+@deffn {Attribute} Overflow_Literal = 15
+@end deffn
+
+@geindex Unaffected_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unaffected_Waveform}@anchor{c4c}
+@deffn {Attribute} Unaffected_Waveform = 16
+@end deffn
+
+@geindex Waveform_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Waveform_Element}@anchor{c4d}
+@deffn {Attribute} Waveform_Element = 17
+@end deffn
+
+@geindex Conditional_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Waveform}@anchor{c4e}
+@deffn {Attribute} Conditional_Waveform = 18
+@end deffn
+
+@geindex Conditional_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Expression}@anchor{c4f}
+@deffn {Attribute} Conditional_Expression = 19
+@end deffn
+
+@geindex Association_Element_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Expression}@anchor{c50}
+@deffn {Attribute} Association_Element_By_Expression = 20
+@end deffn
+
+@geindex Association_Element_By_Individual (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Individual}@anchor{c51}
+@deffn {Attribute} Association_Element_By_Individual = 21
+@end deffn
+
+@geindex Association_Element_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Open}@anchor{c52}
+@deffn {Attribute} Association_Element_Open = 22
+@end deffn
+
+@geindex Association_Element_Package (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Package}@anchor{c53}
+@deffn {Attribute} Association_Element_Package = 23
+@end deffn
+
+@geindex Association_Element_Type (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Type}@anchor{c54}
+@deffn {Attribute} Association_Element_Type = 24
+@end deffn
+
+@geindex Association_Element_Subprogram (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Subprogram}@anchor{c55}
+@deffn {Attribute} Association_Element_Subprogram = 25
+@end deffn
+
+@geindex Association_Element_Terminal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Terminal}@anchor{c56}
+@deffn {Attribute} Association_Element_Terminal = 26
+@end deffn
+
+@geindex Choice_By_Range (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Range}@anchor{c57}
+@deffn {Attribute} Choice_By_Range = 27
+@end deffn
+
+@geindex Choice_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Expression}@anchor{c58}
+@deffn {Attribute} Choice_By_Expression = 28
+@end deffn
+
+@geindex Choice_By_Others (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Others}@anchor{c59}
+@deffn {Attribute} Choice_By_Others = 29
+@end deffn
+
+@geindex Choice_By_None (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_None}@anchor{c5a}
+@deffn {Attribute} Choice_By_None = 30
+@end deffn
+
+@geindex Choice_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Name}@anchor{c5b}
+@deffn {Attribute} Choice_By_Name = 31
+@end deffn
+
+@geindex Entity_Aspect_Entity (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Entity}@anchor{c5c}
+@deffn {Attribute} Entity_Aspect_Entity = 32
+@end deffn
+
+@geindex Entity_Aspect_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Configuration}@anchor{c5d}
+@deffn {Attribute} Entity_Aspect_Configuration = 33
+@end deffn
+
+@geindex Entity_Aspect_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Open}@anchor{c5e}
+@deffn {Attribute} Entity_Aspect_Open = 34
+@end deffn
+
+@geindex Psl_Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Hierarchical_Name}@anchor{c5f}
+@deffn {Attribute} Psl_Hierarchical_Name = 35
+@end deffn
+
+@geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Configuration}@anchor{c60}
+@deffn {Attribute} Block_Configuration = 36
+@end deffn
+
+@geindex Block_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Header}@anchor{c61}
+@deffn {Attribute} Block_Header = 37
+@end deffn
+
+@geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Configuration}@anchor{c62}
+@deffn {Attribute} Component_Configuration = 38
+@end deffn
+
+@geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Binding_Indication}@anchor{c63}
+@deffn {Attribute} Binding_Indication = 39
+@end deffn
+
+@geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Class}@anchor{c64}
+@deffn {Attribute} Entity_Class = 40
+@end deffn
+
+@geindex Attribute_Value (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Value}@anchor{c65}
+@deffn {Attribute} Attribute_Value = 41
+@end deffn
+
+@geindex Signature (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signature}@anchor{c66}
+@deffn {Attribute} Signature = 42
+@end deffn
+
+@geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate_Info}@anchor{c67}
+@deffn {Attribute} Aggregate_Info = 43
+@end deffn
+
+@geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call}@anchor{c68}
+@deffn {Attribute} Procedure_Call = 44
+@end deffn
+
+@geindex Record_Element_Constraint (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Constraint}@anchor{c69}
+@deffn {Attribute} Record_Element_Constraint = 45
+@end deffn
+
+@geindex Array_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Element_Resolution}@anchor{c6a}
+@deffn {Attribute} Array_Element_Resolution = 46
+@end deffn
+
+@geindex Record_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Resolution}@anchor{c6b}
+@deffn {Attribute} Record_Resolution = 47
+@end deffn
+
+@geindex Record_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Resolution}@anchor{c6c}
+@deffn {Attribute} Record_Element_Resolution = 48
+@end deffn
+
+@geindex Break_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Element}@anchor{c6d}
+@deffn {Attribute} Break_Element = 49
+@end deffn
+
+@geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Specification}@anchor{c6e}
+@deffn {Attribute} Attribute_Specification = 50
+@end deffn
+
+@geindex Disconnection_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Disconnection_Specification}@anchor{c6f}
+@deffn {Attribute} Disconnection_Specification = 51
+@end deffn
+
+@geindex Step_Limit_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Step_Limit_Specification}@anchor{c70}
+@deffn {Attribute} Step_Limit_Specification = 52
+@end deffn
+
+@geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Specification}@anchor{c71}
+@deffn {Attribute} Configuration_Specification = 53
+@end deffn
+
+@geindex Access_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Type_Definition}@anchor{c72}
+@deffn {Attribute} Access_Type_Definition = 54
+@end deffn
+
+@geindex Incomplete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Incomplete_Type_Definition}@anchor{c73}
+@deffn {Attribute} Incomplete_Type_Definition = 55
+@end deffn
+
+@geindex Interface_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Definition}@anchor{c74}
+@deffn {Attribute} Interface_Type_Definition = 56
+@end deffn
+
+@geindex File_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Type_Definition}@anchor{c75}
+@deffn {Attribute} File_Type_Definition = 57
+@end deffn
+
+@geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Declaration}@anchor{c76}
+@deffn {Attribute} Protected_Type_Declaration = 58
+@end deffn
+
+@geindex Record_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Type_Definition}@anchor{c77}
+@deffn {Attribute} Record_Type_Definition = 59
+@end deffn
+
+@geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Type_Definition}@anchor{c78}
+@deffn {Attribute} Array_Type_Definition = 60
+@end deffn
+
+@geindex Array_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subtype_Definition}@anchor{c79}
+@deffn {Attribute} Array_Subtype_Definition = 61
+@end deffn
+
+@geindex Record_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Subtype_Definition}@anchor{c7a}
+@deffn {Attribute} Record_Subtype_Definition = 62
+@end deffn
+
+@geindex Access_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Subtype_Definition}@anchor{c7b}
+@deffn {Attribute} Access_Subtype_Definition = 63
+@end deffn
+
+@geindex Physical_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Subtype_Definition}@anchor{c7c}
+@deffn {Attribute} Physical_Subtype_Definition = 64
+@end deffn
+
+@geindex Floating_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Subtype_Definition}@anchor{c7d}
+@deffn {Attribute} Floating_Subtype_Definition = 65
+@end deffn
+
+@geindex Integer_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Subtype_Definition}@anchor{c7e}
+@deffn {Attribute} Integer_Subtype_Definition = 66
+@end deffn
+
+@geindex Enumeration_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Subtype_Definition}@anchor{c7f}
+@deffn {Attribute} Enumeration_Subtype_Definition = 67
+@end deffn
+
+@geindex Enumeration_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Type_Definition}@anchor{c80}
+@deffn {Attribute} Enumeration_Type_Definition = 68
+@end deffn
+
+@geindex Integer_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Type_Definition}@anchor{c81}
+@deffn {Attribute} Integer_Type_Definition = 69
+@end deffn
+
+@geindex Floating_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Type_Definition}@anchor{c82}
+@deffn {Attribute} Floating_Type_Definition = 70
+@end deffn
+
+@geindex Physical_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Type_Definition}@anchor{c83}
+@deffn {Attribute} Physical_Type_Definition = 71
+@end deffn
+
+@geindex Range_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Expression}@anchor{c84}
+@deffn {Attribute} Range_Expression = 72
+@end deffn
+
+@geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Body}@anchor{c85}
+@deffn {Attribute} Protected_Type_Body = 73
+@end deffn
+
+@geindex Wildcard_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wildcard_Type_Definition}@anchor{c86}
+@deffn {Attribute} Wildcard_Type_Definition = 74
+@end deffn
+
+@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Definition}@anchor{c87}
+@deffn {Attribute} Subtype_Definition = 75
+@end deffn
+
+@geindex Scalar_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Scalar_Nature_Definition}@anchor{c88}
+@deffn {Attribute} Scalar_Nature_Definition = 76
+@end deffn
+
+@geindex Record_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Nature_Definition}@anchor{c89}
+@deffn {Attribute} Record_Nature_Definition = 77
+@end deffn
+
+@geindex Array_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Nature_Definition}@anchor{c8a}
+@deffn {Attribute} Array_Nature_Definition = 78
+@end deffn
+
+@geindex Array_Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subnature_Definition}@anchor{c8b}
+@deffn {Attribute} Array_Subnature_Definition = 79
+@end deffn
+
+@geindex Overload_List (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overload_List}@anchor{c8c}
+@deffn {Attribute} Overload_List = 80
+@end deffn
+
+@geindex Entity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Declaration}@anchor{c8d}
+@deffn {Attribute} Entity_Declaration = 81
+@end deffn
+
+@geindex Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Declaration}@anchor{c8e}
+@deffn {Attribute} Configuration_Declaration = 82
+@end deffn
+
+@geindex Context_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Declaration}@anchor{c8f}
+@deffn {Attribute} Context_Declaration = 83
+@end deffn
+
+@geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Declaration}@anchor{c90}
+@deffn {Attribute} Package_Declaration = 84
+@end deffn
+
+@geindex Package_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Instantiation_Declaration}@anchor{c91}
+@deffn {Attribute} Package_Instantiation_Declaration = 85
+@end deffn
+
+@geindex Vmode_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vmode_Declaration}@anchor{c92}
+@deffn {Attribute} Vmode_Declaration = 86
+@end deffn
+
+@geindex Vprop_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vprop_Declaration}@anchor{c93}
+@deffn {Attribute} Vprop_Declaration = 87
+@end deffn
+
+@geindex Vunit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vunit_Declaration}@anchor{c94}
+@deffn {Attribute} Vunit_Declaration = 88
+@end deffn
+
+@geindex Package_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Body}@anchor{c95}
+@deffn {Attribute} Package_Body = 89
+@end deffn
+
+@geindex Architecture_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Architecture_Body}@anchor{c96}
+@deffn {Attribute} Architecture_Body = 90
+@end deffn
+
+@geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Declaration}@anchor{c97}
+@deffn {Attribute} Type_Declaration = 91
+@end deffn
+
+@geindex Anonymous_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Type_Declaration}@anchor{c98}
+@deffn {Attribute} Anonymous_Type_Declaration = 92
+@end deffn
+
+@geindex Subtype_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Declaration}@anchor{c99}
+@deffn {Attribute} Subtype_Declaration = 93
+@end deffn
+
+@geindex Nature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Declaration}@anchor{c9a}
+@deffn {Attribute} Nature_Declaration = 94
+@end deffn
+
+@geindex Subnature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subnature_Declaration}@anchor{c9b}
+@deffn {Attribute} Subnature_Declaration = 95
+@end deffn
+
+@geindex Package_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Header}@anchor{c9c}
+@deffn {Attribute} Package_Header = 96
+@end deffn
+
+@geindex Unit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unit_Declaration}@anchor{c9d}
+@deffn {Attribute} Unit_Declaration = 97
+@end deffn
+
+@geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Declaration}@anchor{c9e}
+@deffn {Attribute} Library_Declaration = 98
+@end deffn
+
+@geindex Component_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Declaration}@anchor{c9f}
+@deffn {Attribute} Component_Declaration = 99
+@end deffn
+
+@geindex Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Declaration}@anchor{ca0}
+@deffn {Attribute} Attribute_Declaration = 100
+@end deffn
+
+@geindex Group_Template_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Template_Declaration}@anchor{ca1}
+@deffn {Attribute} Group_Template_Declaration = 101
+@end deffn
+
+@geindex Group_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Declaration}@anchor{ca2}
+@deffn {Attribute} Group_Declaration = 102
+@end deffn
+
+@geindex Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Declaration}@anchor{ca3}
+@deffn {Attribute} Element_Declaration = 103
+@end deffn
+
+@geindex Nature_Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Element_Declaration}@anchor{ca4}
+@deffn {Attribute} Nature_Element_Declaration = 104
+@end deffn
+
+@geindex Non_Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Non_Object_Alias_Declaration}@anchor{ca5}
+@deffn {Attribute} Non_Object_Alias_Declaration = 105
+@end deffn
+
+@geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Declaration}@anchor{ca6}
+@deffn {Attribute} Psl_Declaration = 106
+@end deffn
+
+@geindex Psl_Endpoint_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Endpoint_Declaration}@anchor{ca7}
+@deffn {Attribute} Psl_Endpoint_Declaration = 107
+@end deffn
+
+@geindex Enumeration_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Literal}@anchor{ca8}
+@deffn {Attribute} Enumeration_Literal = 108
+@end deffn
+
+@geindex Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Declaration}@anchor{ca9}
+@deffn {Attribute} Function_Declaration = 109
+@end deffn
+
+@geindex Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Declaration}@anchor{caa}
+@deffn {Attribute} Procedure_Declaration = 110
+@end deffn
+
+@geindex Function_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Body}@anchor{cab}
+@deffn {Attribute} Function_Body = 111
+@end deffn
+
+@geindex Procedure_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Body}@anchor{cac}
+@deffn {Attribute} Procedure_Body = 112
+@end deffn
+
+@geindex Function_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Instantiation_Declaration}@anchor{cad}
+@deffn {Attribute} Function_Instantiation_Declaration = 113
+@end deffn
+
+@geindex Procedure_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Instantiation_Declaration}@anchor{cae}
+@deffn {Attribute} Procedure_Instantiation_Declaration = 114
+@end deffn
+
+@geindex Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Terminal_Declaration}@anchor{caf}
+@deffn {Attribute} Terminal_Declaration = 115
+@end deffn
+
+@geindex Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Object_Alias_Declaration}@anchor{cb0}
+@deffn {Attribute} Object_Alias_Declaration = 116
+@end deffn
+
+@geindex Free_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Free_Quantity_Declaration}@anchor{cb1}
+@deffn {Attribute} Free_Quantity_Declaration = 117
+@end deffn
+
+@geindex Spectrum_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Spectrum_Quantity_Declaration}@anchor{cb2}
+@deffn {Attribute} Spectrum_Quantity_Declaration = 118
+@end deffn
+
+@geindex Noise_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Noise_Quantity_Declaration}@anchor{cb3}
+@deffn {Attribute} Noise_Quantity_Declaration = 119
+@end deffn
+
+@geindex Across_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Quantity_Declaration}@anchor{cb4}
+@deffn {Attribute} Across_Quantity_Declaration = 120
+@end deffn
+
+@geindex Through_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Quantity_Declaration}@anchor{cb5}
+@deffn {Attribute} Through_Quantity_Declaration = 121
+@end deffn
+
+@geindex File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Declaration}@anchor{cb6}
+@deffn {Attribute} File_Declaration = 122
+@end deffn
+
+@geindex Guard_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Guard_Signal_Declaration}@anchor{cb7}
+@deffn {Attribute} Guard_Signal_Declaration = 123
+@end deffn
+
+@geindex Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Declaration}@anchor{cb8}
+@deffn {Attribute} Signal_Declaration = 124
+@end deffn
+
+@geindex Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Declaration}@anchor{cb9}
+@deffn {Attribute} Variable_Declaration = 125
+@end deffn
+
+@geindex Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Constant_Declaration}@anchor{cba}
+@deffn {Attribute} Constant_Declaration = 126
+@end deffn
+
+@geindex Iterator_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Iterator_Declaration}@anchor{cbb}
+@deffn {Attribute} Iterator_Declaration = 127
+@end deffn
+
+@geindex Interface_Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Constant_Declaration}@anchor{cbc}
+@deffn {Attribute} Interface_Constant_Declaration = 128
+@end deffn
+
+@geindex Interface_Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Variable_Declaration}@anchor{cbd}
+@deffn {Attribute} Interface_Variable_Declaration = 129
+@end deffn
+
+@geindex Interface_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Signal_Declaration}@anchor{cbe}
+@deffn {Attribute} Interface_Signal_Declaration = 130
+@end deffn
+
+@geindex Interface_File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_File_Declaration}@anchor{cbf}
+@deffn {Attribute} Interface_File_Declaration = 131
+@end deffn
+
+@geindex Interface_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Quantity_Declaration}@anchor{cc0}
+@deffn {Attribute} Interface_Quantity_Declaration = 132
+@end deffn
+
+@geindex Interface_Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Terminal_Declaration}@anchor{cc1}
+@deffn {Attribute} Interface_Terminal_Declaration = 133
+@end deffn
+
+@geindex Interface_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Declaration}@anchor{cc2}
+@deffn {Attribute} Interface_Type_Declaration = 134
+@end deffn
+
+@geindex Interface_Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Package_Declaration}@anchor{cc3}
+@deffn {Attribute} Interface_Package_Declaration = 135
+@end deffn
+
+@geindex Interface_Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Function_Declaration}@anchor{cc4}
+@deffn {Attribute} Interface_Function_Declaration = 136
+@end deffn
+
+@geindex Interface_Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Procedure_Declaration}@anchor{cc5}
+@deffn {Attribute} Interface_Procedure_Declaration = 137
+@end deffn
+
+@geindex Anonymous_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Signal_Declaration}@anchor{cc6}
+@deffn {Attribute} Anonymous_Signal_Declaration = 138
+@end deffn
+
+@geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Attribute_Declaration}@anchor{cc7}
+@deffn {Attribute} Signal_Attribute_Declaration = 139
+@end deffn
+
+@geindex Identity_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Identity_Operator}@anchor{cc8}
+@deffn {Attribute} Identity_Operator = 140
+@end deffn
+
+@geindex Negation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Negation_Operator}@anchor{cc9}
+@deffn {Attribute} Negation_Operator = 141
+@end deffn
+
+@geindex Absolute_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Operator}@anchor{cca}
+@deffn {Attribute} Absolute_Operator = 142
+@end deffn
+
+@geindex Not_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Not_Operator}@anchor{ccb}
+@deffn {Attribute} Not_Operator = 143
+@end deffn
+
+@geindex Implicit_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Condition_Operator}@anchor{ccc}
+@deffn {Attribute} Implicit_Condition_Operator = 144
+@end deffn
+
+@geindex Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Condition_Operator}@anchor{ccd}
+@deffn {Attribute} Condition_Operator = 145
+@end deffn
+
+@geindex Reduction_And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_And_Operator}@anchor{cce}
+@deffn {Attribute} Reduction_And_Operator = 146
+@end deffn
+
+@geindex Reduction_Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Or_Operator}@anchor{ccf}
+@deffn {Attribute} Reduction_Or_Operator = 147
+@end deffn
+
+@geindex Reduction_Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nand_Operator}@anchor{cd0}
+@deffn {Attribute} Reduction_Nand_Operator = 148
+@end deffn
+
+@geindex Reduction_Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nor_Operator}@anchor{cd1}
+@deffn {Attribute} Reduction_Nor_Operator = 149
+@end deffn
+
+@geindex Reduction_Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xor_Operator}@anchor{cd2}
+@deffn {Attribute} Reduction_Xor_Operator = 150
+@end deffn
+
+@geindex Reduction_Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xnor_Operator}@anchor{cd3}
+@deffn {Attribute} Reduction_Xnor_Operator = 151
+@end deffn
+
+@geindex And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind And_Operator}@anchor{cd4}
+@deffn {Attribute} And_Operator = 152
+@end deffn
+
+@geindex Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Or_Operator}@anchor{cd5}
+@deffn {Attribute} Or_Operator = 153
+@end deffn
+
+@geindex Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nand_Operator}@anchor{cd6}
+@deffn {Attribute} Nand_Operator = 154
+@end deffn
+
+@geindex Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nor_Operator}@anchor{cd7}
+@deffn {Attribute} Nor_Operator = 155
+@end deffn
+
+@geindex Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xor_Operator}@anchor{cd8}
+@deffn {Attribute} Xor_Operator = 156
+@end deffn
+
+@geindex Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xnor_Operator}@anchor{cd9}
+@deffn {Attribute} Xnor_Operator = 157
+@end deffn
+
+@geindex Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Equality_Operator}@anchor{cda}
+@deffn {Attribute} Equality_Operator = 158
+@end deffn
+
+@geindex Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Inequality_Operator}@anchor{cdb}
+@deffn {Attribute} Inequality_Operator = 159
+@end deffn
+
+@geindex Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Operator}@anchor{cdc}
+@deffn {Attribute} Less_Than_Operator = 160
+@end deffn
+
+@geindex Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Or_Equal_Operator}@anchor{cdd}
+@deffn {Attribute} Less_Than_Or_Equal_Operator = 161
+@end deffn
+
+@geindex Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Operator}@anchor{cde}
+@deffn {Attribute} Greater_Than_Operator = 162
+@end deffn
+
+@geindex Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Or_Equal_Operator}@anchor{cdf}
+@deffn {Attribute} Greater_Than_Or_Equal_Operator = 163
+@end deffn
+
+@geindex Match_Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Equality_Operator}@anchor{ce0}
+@deffn {Attribute} Match_Equality_Operator = 164
+@end deffn
+
+@geindex Match_Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Inequality_Operator}@anchor{ce1}
+@deffn {Attribute} Match_Inequality_Operator = 165
+@end deffn
+
+@geindex Match_Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Operator}@anchor{ce2}
+@deffn {Attribute} Match_Less_Than_Operator = 166
+@end deffn
+
+@geindex Match_Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Or_Equal_Operator}@anchor{ce3}
+@deffn {Attribute} Match_Less_Than_Or_Equal_Operator = 167
+@end deffn
+
+@geindex Match_Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Operator}@anchor{ce4}
+@deffn {Attribute} Match_Greater_Than_Operator = 168
+@end deffn
+
+@geindex Match_Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Or_Equal_Operator}@anchor{ce5}
+@deffn {Attribute} Match_Greater_Than_Or_Equal_Operator = 169
+@end deffn
+
+@geindex Sll_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sll_Operator}@anchor{ce6}
+@deffn {Attribute} Sll_Operator = 170
+@end deffn
+
+@geindex Sla_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sla_Operator}@anchor{ce7}
+@deffn {Attribute} Sla_Operator = 171
+@end deffn
+
+@geindex Srl_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Srl_Operator}@anchor{ce8}
+@deffn {Attribute} Srl_Operator = 172
+@end deffn
+
+@geindex Sra_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sra_Operator}@anchor{ce9}
+@deffn {Attribute} Sra_Operator = 173
+@end deffn
+
+@geindex Rol_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rol_Operator}@anchor{cea}
+@deffn {Attribute} Rol_Operator = 174
+@end deffn
+
+@geindex Ror_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ror_Operator}@anchor{ceb}
+@deffn {Attribute} Ror_Operator = 175
+@end deffn
+
+@geindex Addition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Addition_Operator}@anchor{cec}
+@deffn {Attribute} Addition_Operator = 176
+@end deffn
+
+@geindex Substraction_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Substraction_Operator}@anchor{ced}
+@deffn {Attribute} Substraction_Operator = 177
+@end deffn
+
+@geindex Concatenation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concatenation_Operator}@anchor{cee}
+@deffn {Attribute} Concatenation_Operator = 178
+@end deffn
+
+@geindex Multiplication_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Multiplication_Operator}@anchor{cef}
+@deffn {Attribute} Multiplication_Operator = 179
+@end deffn
+
+@geindex Division_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Division_Operator}@anchor{cf0}
+@deffn {Attribute} Division_Operator = 180
+@end deffn
+
+@geindex Modulus_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Modulus_Operator}@anchor{cf1}
+@deffn {Attribute} Modulus_Operator = 181
+@end deffn
+
+@geindex Remainder_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Remainder_Operator}@anchor{cf2}
+@deffn {Attribute} Remainder_Operator = 182
+@end deffn
+
+@geindex Exponentiation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exponentiation_Operator}@anchor{cf3}
+@deffn {Attribute} Exponentiation_Operator = 183
+@end deffn
+
+@geindex Function_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Call}@anchor{cf4}
+@deffn {Attribute} Function_Call = 184
+@end deffn
+
+@geindex Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate}@anchor{cf5}
+@deffn {Attribute} Aggregate = 185
+@end deffn
+
+@geindex Parenthesis_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Expression}@anchor{cf6}
+@deffn {Attribute} Parenthesis_Expression = 186
+@end deffn
+
+@geindex Qualified_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Qualified_Expression}@anchor{cf7}
+@deffn {Attribute} Qualified_Expression = 187
+@end deffn
+
+@geindex Type_Conversion (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Conversion}@anchor{cf8}
+@deffn {Attribute} Type_Conversion = 188
+@end deffn
+
+@geindex Allocator_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Expression}@anchor{cf9}
+@deffn {Attribute} Allocator_By_Expression = 189
+@end deffn
+
+@geindex Allocator_By_Subtype (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Subtype}@anchor{cfa}
+@deffn {Attribute} Allocator_By_Subtype = 190
+@end deffn
+
+@geindex Selected_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Element}@anchor{cfb}
+@deffn {Attribute} Selected_Element = 191
+@end deffn
+
+@geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dereference}@anchor{cfc}
+@deffn {Attribute} Dereference = 192
+@end deffn
+
+@geindex Implicit_Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Dereference}@anchor{cfd}
+@deffn {Attribute} Implicit_Dereference = 193
+@end deffn
+
+@geindex Slice_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Slice_Name}@anchor{cfe}
+@deffn {Attribute} Slice_Name = 194
+@end deffn
+
+@geindex Indexed_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Indexed_Name}@anchor{cff}
+@deffn {Attribute} Indexed_Name = 195
+@end deffn
+
+@geindex Psl_Prev (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Prev}@anchor{d00}
+@deffn {Attribute} Psl_Prev = 196
+@end deffn
+
+@geindex Psl_Stable (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Stable}@anchor{d01}
+@deffn {Attribute} Psl_Stable = 197
+@end deffn
+
+@geindex Psl_Rose (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Rose}@anchor{d02}
+@deffn {Attribute} Psl_Rose = 198
+@end deffn
+
+@geindex Psl_Fell (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Fell}@anchor{d03}
+@deffn {Attribute} Psl_Fell = 199
+@end deffn
+
+@geindex Psl_Onehot (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot}@anchor{d04}
+@deffn {Attribute} Psl_Onehot = 200
+@end deffn
+
+@geindex Psl_Onehot0 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot0}@anchor{d05}
+@deffn {Attribute} Psl_Onehot0 = 201
+@end deffn
+
+@geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Expression}@anchor{d06}
+@deffn {Attribute} Psl_Expression = 202
+@end deffn
+
+@geindex Sensitized_Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sensitized_Process_Statement}@anchor{d07}
+@deffn {Attribute} Sensitized_Process_Statement = 203
+@end deffn
+
+@geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Process_Statement}@anchor{d08}
+@deffn {Attribute} Process_Statement = 204
+@end deffn
+
+@geindex Concurrent_Simple_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Simple_Signal_Assignment}@anchor{d09}
+@deffn {Attribute} Concurrent_Simple_Signal_Assignment = 205
+@end deffn
+
+@geindex Concurrent_Conditional_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Conditional_Signal_Assignment}@anchor{d0a}
+@deffn {Attribute} Concurrent_Conditional_Signal_Assignment = 206
+@end deffn
+
+@geindex Concurrent_Selected_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Selected_Signal_Assignment}@anchor{d0b}
+@deffn {Attribute} Concurrent_Selected_Signal_Assignment = 207
+@end deffn
+
+@geindex Concurrent_Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Assertion_Statement}@anchor{d0c}
+@deffn {Attribute} Concurrent_Assertion_Statement = 208
+@end deffn
+
+@geindex Concurrent_Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Procedure_Call_Statement}@anchor{d0d}
+@deffn {Attribute} Concurrent_Procedure_Call_Statement = 209
+@end deffn
+
+@geindex Concurrent_Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Break_Statement}@anchor{d0e}
+@deffn {Attribute} Concurrent_Break_Statement = 210
+@end deffn
+
+@geindex Psl_Assert_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assert_Directive}@anchor{d0f}
+@deffn {Attribute} Psl_Assert_Directive = 211
+@end deffn
+
+@geindex Psl_Assume_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assume_Directive}@anchor{d10}
+@deffn {Attribute} Psl_Assume_Directive = 212
+@end deffn
+
+@geindex Psl_Cover_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Cover_Directive}@anchor{d11}
+@deffn {Attribute} Psl_Cover_Directive = 213
+@end deffn
+
+@geindex Psl_Restrict_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Restrict_Directive}@anchor{d12}
+@deffn {Attribute} Psl_Restrict_Directive = 214
+@end deffn
+
+@geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Statement}@anchor{d13}
+@deffn {Attribute} Block_Statement = 215
+@end deffn
+
+@geindex If_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Statement}@anchor{d14}
+@deffn {Attribute} If_Generate_Statement = 216
+@end deffn
+
+@geindex Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Generate_Statement}@anchor{d15}
+@deffn {Attribute} Case_Generate_Statement = 217
+@end deffn
+
+@geindex For_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Generate_Statement}@anchor{d16}
+@deffn {Attribute} For_Generate_Statement = 218
+@end deffn
+
+@geindex Component_Instantiation_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Instantiation_Statement}@anchor{d17}
+@deffn {Attribute} Component_Instantiation_Statement = 219
+@end deffn
+
+@geindex Psl_Default_Clock (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Default_Clock}@anchor{d18}
+@deffn {Attribute} Psl_Default_Clock = 220
+@end deffn
+
+@geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Generate_Statement_Body}@anchor{d19}
+@deffn {Attribute} Generate_Statement_Body = 221
+@end deffn
+
+@geindex If_Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Else_Clause}@anchor{d1a}
+@deffn {Attribute} If_Generate_Else_Clause = 222
+@end deffn
+
+@geindex Simple_Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Simultaneous_Statement}@anchor{d1b}
+@deffn {Attribute} Simple_Simultaneous_Statement = 223
+@end deffn
+
+@geindex Simultaneous_Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Null_Statement}@anchor{d1c}
+@deffn {Attribute} Simultaneous_Null_Statement = 224
+@end deffn
+
+@geindex Simultaneous_Procedural_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Procedural_Statement}@anchor{d1d}
+@deffn {Attribute} Simultaneous_Procedural_Statement = 225
+@end deffn
+
+@geindex Simultaneous_Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Case_Statement}@anchor{d1e}
+@deffn {Attribute} Simultaneous_Case_Statement = 226
+@end deffn
+
+@geindex Simultaneous_If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_If_Statement}@anchor{d1f}
+@deffn {Attribute} Simultaneous_If_Statement = 227
+@end deffn
+
+@geindex Simultaneous_Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Elsif}@anchor{d20}
+@deffn {Attribute} Simultaneous_Elsif = 228
+@end deffn
+
+@geindex Simple_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Signal_Assignment_Statement}@anchor{d21}
+@deffn {Attribute} Simple_Signal_Assignment_Statement = 229
+@end deffn
+
+@geindex Conditional_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Signal_Assignment_Statement}@anchor{d22}
+@deffn {Attribute} Conditional_Signal_Assignment_Statement = 230
+@end deffn
+
+@geindex Selected_Waveform_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Waveform_Assignment_Statement}@anchor{d23}
+@deffn {Attribute} Selected_Waveform_Assignment_Statement = 231
+@end deffn
+
+@geindex Signal_Force_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Force_Assignment_Statement}@anchor{d24}
+@deffn {Attribute} Signal_Force_Assignment_Statement = 232
+@end deffn
+
+@geindex Signal_Release_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Release_Assignment_Statement}@anchor{d25}
+@deffn {Attribute} Signal_Release_Assignment_Statement = 233
+@end deffn
+
+@geindex Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Statement}@anchor{d26}
+@deffn {Attribute} Null_Statement = 234
+@end deffn
+
+@geindex Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Assertion_Statement}@anchor{d27}
+@deffn {Attribute} Assertion_Statement = 235
+@end deffn
+
+@geindex Report_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Report_Statement}@anchor{d28}
+@deffn {Attribute} Report_Statement = 236
+@end deffn
+
+@geindex Wait_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wait_Statement}@anchor{d29}
+@deffn {Attribute} Wait_Statement = 237
+@end deffn
+
+@geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Assignment_Statement}@anchor{d2a}
+@deffn {Attribute} Variable_Assignment_Statement = 238
+@end deffn
+
+@geindex Conditional_Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Variable_Assignment_Statement}@anchor{d2b}
+@deffn {Attribute} Conditional_Variable_Assignment_Statement = 239
+@end deffn
+
+@geindex Return_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Return_Statement}@anchor{d2c}
+@deffn {Attribute} Return_Statement = 240
+@end deffn
+
+@geindex For_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Loop_Statement}@anchor{d2d}
+@deffn {Attribute} For_Loop_Statement = 241
+@end deffn
+
+@geindex While_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind While_Loop_Statement}@anchor{d2e}
+@deffn {Attribute} While_Loop_Statement = 242
+@end deffn
+
+@geindex Next_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Next_Statement}@anchor{d2f}
+@deffn {Attribute} Next_Statement = 243
+@end deffn
+
+@geindex Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exit_Statement}@anchor{d30}
+@deffn {Attribute} Exit_Statement = 244
+@end deffn
+
+@geindex Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Statement}@anchor{d31}
+@deffn {Attribute} Case_Statement = 245
+@end deffn
+
+@geindex Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call_Statement}@anchor{d32}
+@deffn {Attribute} Procedure_Call_Statement = 246
+@end deffn
+
+@geindex Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Statement}@anchor{d33}
+@deffn {Attribute} Break_Statement = 247
+@end deffn
+
+@geindex If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Statement}@anchor{d34}
+@deffn {Attribute} If_Statement = 248
+@end deffn
+
+@geindex Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Elsif}@anchor{d35}
+@deffn {Attribute} Elsif = 249
+@end deffn
+
+@geindex Character_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Character_Literal}@anchor{d36}
+@deffn {Attribute} Character_Literal = 250
+@end deffn
+
+@geindex Simple_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name}@anchor{d37}
+@deffn {Attribute} Simple_Name = 251
+@end deffn
+
+@geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Name}@anchor{d38}
+@deffn {Attribute} Selected_Name = 252
+@end deffn
+
+@geindex Operator_Symbol (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Operator_Symbol}@anchor{d39}
+@deffn {Attribute} Operator_Symbol = 253
+@end deffn
+
+@geindex Reference_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reference_Name}@anchor{d3a}
+@deffn {Attribute} Reference_Name = 254
+@end deffn
+
+@geindex External_Constant_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Constant_Name}@anchor{d3b}
+@deffn {Attribute} External_Constant_Name = 255
+@end deffn
+
+@geindex External_Signal_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Signal_Name}@anchor{d3c}
+@deffn {Attribute} External_Signal_Name = 256
+@end deffn
+
+@geindex External_Variable_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Variable_Name}@anchor{d3d}
+@deffn {Attribute} External_Variable_Name = 257
+@end deffn
+
+@geindex Selected_By_All_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_By_All_Name}@anchor{d3e}
+@deffn {Attribute} Selected_By_All_Name = 258
+@end deffn
+
+@geindex Parenthesis_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Name}@anchor{d3f}
+@deffn {Attribute} Parenthesis_Name = 259
+@end deffn
+
+@geindex Package_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Pathname}@anchor{d40}
+@deffn {Attribute} Package_Pathname = 260
+@end deffn
+
+@geindex Absolute_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Pathname}@anchor{d41}
+@deffn {Attribute} Absolute_Pathname = 261
+@end deffn
+
+@geindex Relative_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Relative_Pathname}@anchor{d42}
+@deffn {Attribute} Relative_Pathname = 262
+@end deffn
+
+@geindex Pathname_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pathname_Element}@anchor{d43}
+@deffn {Attribute} Pathname_Element = 263
+@end deffn
+
+@geindex Base_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Base_Attribute}@anchor{d44}
+@deffn {Attribute} Base_Attribute = 264
+@end deffn
+
+@geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Attribute}@anchor{d45}
+@deffn {Attribute} Subtype_Attribute = 265
+@end deffn
+
+@geindex Element_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Attribute}@anchor{d46}
+@deffn {Attribute} Element_Attribute = 266
+@end deffn
+
+@geindex Across_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Attribute}@anchor{d47}
+@deffn {Attribute} Across_Attribute = 267
+@end deffn
+
+@geindex Through_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Attribute}@anchor{d48}
+@deffn {Attribute} Through_Attribute = 268
+@end deffn
+
+@geindex Nature_Reference_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Reference_Attribute}@anchor{d49}
+@deffn {Attribute} Nature_Reference_Attribute = 269
+@end deffn
+
+@geindex Left_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Type_Attribute}@anchor{d4a}
+@deffn {Attribute} Left_Type_Attribute = 270
+@end deffn
+
+@geindex Right_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Type_Attribute}@anchor{d4b}
+@deffn {Attribute} Right_Type_Attribute = 271
+@end deffn
+
+@geindex High_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Type_Attribute}@anchor{d4c}
+@deffn {Attribute} High_Type_Attribute = 272
+@end deffn
+
+@geindex Low_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Type_Attribute}@anchor{d4d}
+@deffn {Attribute} Low_Type_Attribute = 273
+@end deffn
+
+@geindex Ascending_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Type_Attribute}@anchor{d4e}
+@deffn {Attribute} Ascending_Type_Attribute = 274
+@end deffn
+
+@geindex Image_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Image_Attribute}@anchor{d4f}
+@deffn {Attribute} Image_Attribute = 275
+@end deffn
+
+@geindex Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Value_Attribute}@anchor{d50}
+@deffn {Attribute} Value_Attribute = 276
+@end deffn
+
+@geindex Pos_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pos_Attribute}@anchor{d51}
+@deffn {Attribute} Pos_Attribute = 277
+@end deffn
+
+@geindex Val_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Val_Attribute}@anchor{d52}
+@deffn {Attribute} Val_Attribute = 278
+@end deffn
+
+@geindex Succ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Succ_Attribute}@anchor{d53}
+@deffn {Attribute} Succ_Attribute = 279
+@end deffn
+
+@geindex Pred_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pred_Attribute}@anchor{d54}
+@deffn {Attribute} Pred_Attribute = 280
+@end deffn
+
+@geindex Leftof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Leftof_Attribute}@anchor{d55}
+@deffn {Attribute} Leftof_Attribute = 281
+@end deffn
+
+@geindex Rightof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rightof_Attribute}@anchor{d56}
+@deffn {Attribute} Rightof_Attribute = 282
+@end deffn
+
+@geindex Signal_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Slew_Attribute}@anchor{d57}
+@deffn {Attribute} Signal_Slew_Attribute = 283
+@end deffn
+
+@geindex Quantity_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Slew_Attribute}@anchor{d58}
+@deffn {Attribute} Quantity_Slew_Attribute = 284
+@end deffn
+
+@geindex Ramp_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ramp_Attribute}@anchor{d59}
+@deffn {Attribute} Ramp_Attribute = 285
+@end deffn
+
+@geindex Zoh_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Zoh_Attribute}@anchor{d5a}
+@deffn {Attribute} Zoh_Attribute = 286
+@end deffn
+
+@geindex Ltf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ltf_Attribute}@anchor{d5b}
+@deffn {Attribute} Ltf_Attribute = 287
+@end deffn
+
+@geindex Ztf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ztf_Attribute}@anchor{d5c}
+@deffn {Attribute} Ztf_Attribute = 288
+@end deffn
+
+@geindex Dot_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dot_Attribute}@anchor{d5d}
+@deffn {Attribute} Dot_Attribute = 289
+@end deffn
+
+@geindex Integ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integ_Attribute}@anchor{d5e}
+@deffn {Attribute} Integ_Attribute = 290
+@end deffn
+
+@geindex Above_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Above_Attribute}@anchor{d5f}
+@deffn {Attribute} Above_Attribute = 291
+@end deffn
+
+@geindex Quantity_Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Delayed_Attribute}@anchor{d60}
+@deffn {Attribute} Quantity_Delayed_Attribute = 292
+@end deffn
+
+@geindex Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Delayed_Attribute}@anchor{d61}
+@deffn {Attribute} Delayed_Attribute = 293
+@end deffn
+
+@geindex Stable_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Stable_Attribute}@anchor{d62}
+@deffn {Attribute} Stable_Attribute = 294
+@end deffn
+
+@geindex Quiet_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quiet_Attribute}@anchor{d63}
+@deffn {Attribute} Quiet_Attribute = 295
+@end deffn
+
+@geindex Transaction_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Transaction_Attribute}@anchor{d64}
+@deffn {Attribute} Transaction_Attribute = 296
+@end deffn
+
+@geindex Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Event_Attribute}@anchor{d65}
+@deffn {Attribute} Event_Attribute = 297
+@end deffn
+
+@geindex Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Active_Attribute}@anchor{d66}
+@deffn {Attribute} Active_Attribute = 298
+@end deffn
+
+@geindex Last_Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Event_Attribute}@anchor{d67}
+@deffn {Attribute} Last_Event_Attribute = 299
+@end deffn
+
+@geindex Last_Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Active_Attribute}@anchor{d68}
+@deffn {Attribute} Last_Active_Attribute = 300
+@end deffn
+
+@geindex Last_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Value_Attribute}@anchor{d69}
+@deffn {Attribute} Last_Value_Attribute = 301
+@end deffn
+
+@geindex Driving_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Attribute}@anchor{d6a}
+@deffn {Attribute} Driving_Attribute = 302
+@end deffn
+
+@geindex Driving_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Value_Attribute}@anchor{d6b}
+@deffn {Attribute} Driving_Value_Attribute = 303
+@end deffn
+
+@geindex Behavior_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Behavior_Attribute}@anchor{d6c}
+@deffn {Attribute} Behavior_Attribute = 304
+@end deffn
+
+@geindex Structure_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Structure_Attribute}@anchor{d6d}
+@deffn {Attribute} Structure_Attribute = 305
+@end deffn
+
+@geindex Simple_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name_Attribute}@anchor{d6e}
+@deffn {Attribute} Simple_Name_Attribute = 306
+@end deffn
+
+@geindex Instance_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Instance_Name_Attribute}@anchor{d6f}
+@deffn {Attribute} Instance_Name_Attribute = 307
+@end deffn
+
+@geindex Path_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Path_Name_Attribute}@anchor{d70}
+@deffn {Attribute} Path_Name_Attribute = 308
+@end deffn
+
+@geindex Left_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Array_Attribute}@anchor{d71}
+@deffn {Attribute} Left_Array_Attribute = 309
+@end deffn
+
+@geindex Right_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Array_Attribute}@anchor{d72}
+@deffn {Attribute} Right_Array_Attribute = 310
+@end deffn
+
+@geindex High_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Array_Attribute}@anchor{d73}
+@deffn {Attribute} High_Array_Attribute = 311
+@end deffn
+
+@geindex Low_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Array_Attribute}@anchor{d74}
+@deffn {Attribute} Low_Array_Attribute = 312
+@end deffn
+
+@geindex Length_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Length_Array_Attribute}@anchor{d75}
+@deffn {Attribute} Length_Array_Attribute = 313
+@end deffn
+
+@geindex Ascending_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Array_Attribute}@anchor{d76}
+@deffn {Attribute} Ascending_Array_Attribute = 314
+@end deffn
+
+@geindex Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Array_Attribute}@anchor{d77}
+@deffn {Attribute} Range_Array_Attribute = 315
+@end deffn
+
+@geindex Reverse_Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reverse_Range_Array_Attribute}@anchor{d78}
+@deffn {Attribute} Reverse_Range_Array_Attribute = 316
+@end deffn
+
+@geindex Attribute_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Name}@anchor{d79}
+@deffn {Attribute} Attribute_Name = 317
+@end deffn
+@end deffn
+
+@geindex Iir_Kinds (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds}@anchor{94d}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kinds
+
+
+@subsubheading Inheritance
+
+@image{inheritance-f9eb2c0f32773b6d6194b4ca03831243ef08158e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Library_Unit}@anchor{d7a}
+@deffn {Attribute} Library_Unit = [<Iir_Kind.Entity_Declaration: 81>, <Iir_Kind.Configuration_Declaration: 82>, <Iir_Kind.Context_Declaration: 83>, <Iir_Kind.Package_Declaration: 84>, <Iir_Kind.Package_Instantiation_Declaration: 85>, <Iir_Kind.Vmode_Declaration: 86>, <Iir_Kind.Vprop_Declaration: 87>, <Iir_Kind.Vunit_Declaration: 88>, <Iir_Kind.Package_Body: 89>, <Iir_Kind.Architecture_Body: 90>]
+@end deffn
+
+@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Design_Unit}@anchor{d7b}
+@deffn {Attribute} Design_Unit = [<Iir_Kind.Design_Unit: 3>, <Iir_Kind.Foreign_Module: 4>]
+@end deffn
+
+@geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Primary_Unit}@anchor{d7c}
+@deffn {Attribute} Primary_Unit = [<Iir_Kind.Entity_Declaration: 81>, <Iir_Kind.Configuration_Declaration: 82>, <Iir_Kind.Context_Declaration: 83>, <Iir_Kind.Package_Declaration: 84>, <Iir_Kind.Package_Instantiation_Declaration: 85>, <Iir_Kind.Vmode_Declaration: 86>, <Iir_Kind.Vprop_Declaration: 87>, <Iir_Kind.Vunit_Declaration: 88>]
+@end deffn
+
+@geindex Secondary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Secondary_Unit}@anchor{d7d}
+@deffn {Attribute} Secondary_Unit = [<Iir_Kind.Package_Body: 89>, <Iir_Kind.Architecture_Body: 90>]
+@end deffn
+
+@geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Package_Declaration}@anchor{d7e}
+@deffn {Attribute} Package_Declaration = [<Iir_Kind.Package_Declaration: 84>, <Iir_Kind.Package_Instantiation_Declaration: 85>]
+@end deffn
+
+@geindex Verification_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Verification_Unit}@anchor{d7f}
+@deffn {Attribute} Verification_Unit = [<Iir_Kind.Vmode_Declaration: 86>, <Iir_Kind.Vprop_Declaration: 87>, <Iir_Kind.Vunit_Declaration: 88>]
+@end deffn
+
+@geindex Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Literal}@anchor{d80}
+@deffn {Attribute} Literal = [<Iir_Kind.Integer_Literal: 8>, <Iir_Kind.Floating_Point_Literal: 9>, <Iir_Kind.Null_Literal: 10>, <Iir_Kind.String_Literal8: 11>, <Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
+@end deffn
+
+@geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Physical_Literal}@anchor{d81}
+@deffn {Attribute} Physical_Literal = [<Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
+@end deffn
+
+@geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Type_Definition}@anchor{d82}
+@deffn {Attribute} Array_Type_Definition = [<Iir_Kind.Array_Type_Definition: 60>, <Iir_Kind.Array_Subtype_Definition: 61>]
+@end deffn
+
+@geindex Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_And_Subtype_Definition}@anchor{d83}
+@deffn {Attribute} Type_And_Subtype_Definition = [<Iir_Kind.Access_Type_Definition: 54>, <Iir_Kind.Incomplete_Type_Definition: 55>, <Iir_Kind.Interface_Type_Definition: 56>, <Iir_Kind.File_Type_Definition: 57>, <Iir_Kind.Protected_Type_Declaration: 58>, <Iir_Kind.Record_Type_Definition: 59>, <Iir_Kind.Array_Type_Definition: 60>, <Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>, <Iir_Kind.Access_Subtype_Definition: 63>, <Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>, <Iir_Kind.Integer_Type_Definition: 69>, <Iir_Kind.Floating_Type_Definition: 70>, <Iir_Kind.Physical_Type_Definition: 71>]
+@end deffn
+
+@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Definition}@anchor{d84}
+@deffn {Attribute} Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>, <Iir_Kind.Access_Subtype_Definition: 63>, <Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>]
+@end deffn
+
+@geindex Scalar_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Subtype_Definition}@anchor{d85}
+@deffn {Attribute} Scalar_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>]
+@end deffn
+
+@geindex Scalar_Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_And_Subtype_Definition}@anchor{d86}
+@deffn {Attribute} Scalar_Type_And_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>, <Iir_Kind.Integer_Type_Definition: 69>, <Iir_Kind.Floating_Type_Definition: 70>, <Iir_Kind.Physical_Type_Definition: 71>]
+@end deffn
+
+@geindex Range_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Type_Definition}@anchor{d87}
+@deffn {Attribute} Range_Type_Definition = [<Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>]
+@end deffn
+
+@geindex Discrete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Discrete_Type_Definition}@anchor{d88}
+@deffn {Attribute} Discrete_Type_Definition = [<Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>, <Iir_Kind.Integer_Type_Definition: 69>]
+@end deffn
+
+@geindex Composite_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Type_Definition}@anchor{d89}
+@deffn {Attribute} Composite_Type_Definition = [<Iir_Kind.Record_Type_Definition: 59>, <Iir_Kind.Array_Type_Definition: 60>, <Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>]
+@end deffn
+
+@geindex Composite_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Subtype_Definition}@anchor{d8a}
+@deffn {Attribute} Composite_Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>]
+@end deffn
+
+@geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Declaration}@anchor{d8b}
+@deffn {Attribute} Type_Declaration = [<Iir_Kind.Type_Declaration: 91>, <Iir_Kind.Anonymous_Type_Declaration: 92>, <Iir_Kind.Subtype_Declaration: 93>]
+@end deffn
+
+@geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Definition}@anchor{d8c}
+@deffn {Attribute} Nature_Definition = [<Iir_Kind.Scalar_Nature_Definition: 76>, <Iir_Kind.Record_Nature_Definition: 77>, <Iir_Kind.Array_Nature_Definition: 78>]
+@end deffn
+
+@geindex Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subnature_Definition}@anchor{d8d}
+@deffn {Attribute} Subnature_Definition = [<Iir_Kind.Array_Subnature_Definition: 79>]
+@end deffn
+
+@geindex Nature_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Indication}@anchor{d8e}
+@deffn {Attribute} Nature_Indication = [<Iir_Kind.Scalar_Nature_Definition: 76>, <Iir_Kind.Record_Nature_Definition: 77>, <Iir_Kind.Array_Nature_Definition: 78>, <Iir_Kind.Array_Subnature_Definition: 79>]
+@end deffn
+
+@geindex Nonoverloadable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nonoverloadable_Declaration}@anchor{d8f}
+@deffn {Attribute} Nonoverloadable_Declaration = [<Iir_Kind.Type_Declaration: 91>, <Iir_Kind.Anonymous_Type_Declaration: 92>, <Iir_Kind.Subtype_Declaration: 93>, <Iir_Kind.Nature_Declaration: 94>, <Iir_Kind.Subnature_Declaration: 95>, <Iir_Kind.Package_Header: 96>, <Iir_Kind.Unit_Declaration: 97>, <Iir_Kind.Library_Declaration: 98>, <Iir_Kind.Component_Declaration: 99>, <Iir_Kind.Attribute_Declaration: 100>, <Iir_Kind.Group_Template_Declaration: 101>, <Iir_Kind.Group_Declaration: 102>, <Iir_Kind.Element_Declaration: 103>, <Iir_Kind.Nature_Element_Declaration: 104>]
+@end deffn
+
+@geindex Monadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Monadic_Operator}@anchor{d90}
+@deffn {Attribute} Monadic_Operator = [<Iir_Kind.Identity_Operator: 140>, <Iir_Kind.Negation_Operator: 141>, <Iir_Kind.Absolute_Operator: 142>, <Iir_Kind.Not_Operator: 143>, <Iir_Kind.Implicit_Condition_Operator: 144>, <Iir_Kind.Condition_Operator: 145>, <Iir_Kind.Reduction_And_Operator: 146>, <Iir_Kind.Reduction_Or_Operator: 147>, <Iir_Kind.Reduction_Nand_Operator: 148>, <Iir_Kind.Reduction_Nor_Operator: 149>, <Iir_Kind.Reduction_Xor_Operator: 150>, <Iir_Kind.Reduction_Xnor_Operator: 151>]
+@end deffn
+
+@geindex Dyadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dyadic_Operator}@anchor{d91}
+@deffn {Attribute} Dyadic_Operator = [<Iir_Kind.And_Operator: 152>, <Iir_Kind.Or_Operator: 153>, <Iir_Kind.Nand_Operator: 154>, <Iir_Kind.Nor_Operator: 155>, <Iir_Kind.Xor_Operator: 156>, <Iir_Kind.Xnor_Operator: 157>, <Iir_Kind.Equality_Operator: 158>, <Iir_Kind.Inequality_Operator: 159>, <Iir_Kind.Less_Than_Operator: 160>, <Iir_Kind.Less_Than_Or_Equal_Operator: 161>, <Iir_Kind.Greater_Than_Operator: 162>, <Iir_Kind.Greater_Than_Or_Equal_Operator: 163>, <Iir_Kind.Match_Equality_Operator: 164>, <Iir_Kind.Match_Inequality_Operator: 165>, <Iir_Kind.Match_Less_Than_Operator: 166>, <Iir_Kind.Match_Less_Than_Or_Equal_Operator: 167>, <Iir_Kind.Match_Greater_Than_Operator: 168>, <Iir_Kind.Match_Greater_Than_Or_Equal_Operator: 169>, <Iir_Kind.Sll_Operator: 170>, <Iir_Kind.Sla_Operator: 171>, <Iir_Kind.Srl_Operator: 172>, <Iir_Kind.Sra_Operator: 173>, <Iir_Kind.Rol_Operator: 174>, <Iir_Kind.Ror_Operator: 175>, <Iir_Kind.Addition_Operator: 176>, <Iir_Kind.Substraction_Operator: 177>, <Iir_Kind.Concatenation_Operator: 178>, <Iir_Kind.Multiplication_Operator: 179>, <Iir_Kind.Division_Operator: 180>, <Iir_Kind.Modulus_Operator: 181>, <Iir_Kind.Remainder_Operator: 182>, <Iir_Kind.Exponentiation_Operator: 183>]
+@end deffn
+
+@geindex Psl_Builtin (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Builtin}@anchor{d92}
+@deffn {Attribute} Psl_Builtin = [<Iir_Kind.Psl_Prev: 196>, <Iir_Kind.Psl_Stable: 197>, <Iir_Kind.Psl_Rose: 198>, <Iir_Kind.Psl_Fell: 199>, <Iir_Kind.Psl_Onehot: 200>, <Iir_Kind.Psl_Onehot0: 201>]
+@end deffn
+
+@geindex Functions_And_Literals (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Functions_And_Literals}@anchor{d93}
+@deffn {Attribute} Functions_And_Literals = [<Iir_Kind.Enumeration_Literal: 108>, <Iir_Kind.Function_Declaration: 109>]
+@end deffn
+
+@geindex Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Declaration}@anchor{d94}
+@deffn {Attribute} Subprogram_Declaration = [<Iir_Kind.Function_Declaration: 109>, <Iir_Kind.Procedure_Declaration: 110>]
+@end deffn
+
+@geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Body}@anchor{d95}
+@deffn {Attribute} Subprogram_Body = [<Iir_Kind.Function_Body: 111>, <Iir_Kind.Procedure_Body: 112>]
+@end deffn
+
+@geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Process_Statement}@anchor{d96}
+@deffn {Attribute} Process_Statement = [<Iir_Kind.Sensitized_Process_Statement: 203>, <Iir_Kind.Process_Statement: 204>]
+@end deffn
+
+@geindex Interface_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Object_Declaration}@anchor{d97}
+@deffn {Attribute} Interface_Object_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>, <Iir_Kind.Interface_Quantity_Declaration: 132>]
+@end deffn
+
+@geindex Interface_Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Subprogram_Declaration}@anchor{d98}
+@deffn {Attribute} Interface_Subprogram_Declaration = [<Iir_Kind.Interface_Function_Declaration: 136>, <Iir_Kind.Interface_Procedure_Declaration: 137>]
+@end deffn
+
+@geindex Interface_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Declaration}@anchor{d99}
+@deffn {Attribute} Interface_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>, <Iir_Kind.Interface_Quantity_Declaration: 132>, <Iir_Kind.Interface_Terminal_Declaration: 133>, <Iir_Kind.Interface_Type_Declaration: 134>, <Iir_Kind.Interface_Package_Declaration: 135>, <Iir_Kind.Interface_Function_Declaration: 136>, <Iir_Kind.Interface_Procedure_Declaration: 137>]
+@end deffn
+
+@geindex Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Object_Declaration}@anchor{d9a}
+@deffn {Attribute} Object_Declaration = [<Iir_Kind.Object_Alias_Declaration: 116>, <Iir_Kind.Free_Quantity_Declaration: 117>, <Iir_Kind.Spectrum_Quantity_Declaration: 118>, <Iir_Kind.Noise_Quantity_Declaration: 119>, <Iir_Kind.Across_Quantity_Declaration: 120>, <Iir_Kind.Through_Quantity_Declaration: 121>, <Iir_Kind.File_Declaration: 122>, <Iir_Kind.Guard_Signal_Declaration: 123>, <Iir_Kind.Signal_Declaration: 124>, <Iir_Kind.Variable_Declaration: 125>, <Iir_Kind.Constant_Declaration: 126>, <Iir_Kind.Iterator_Declaration: 127>, <Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>, <Iir_Kind.Interface_Quantity_Declaration: 132>]
+@end deffn
+
+@geindex Branch_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Branch_Quantity_Declaration}@anchor{d9b}
+@deffn {Attribute} Branch_Quantity_Declaration = [<Iir_Kind.Across_Quantity_Declaration: 120>, <Iir_Kind.Through_Quantity_Declaration: 121>]
+@end deffn
+
+@geindex Source_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Source_Quantity_Declaration}@anchor{d9c}
+@deffn {Attribute} Source_Quantity_Declaration = [<Iir_Kind.Spectrum_Quantity_Declaration: 118>, <Iir_Kind.Noise_Quantity_Declaration: 119>]
+@end deffn
+
+@geindex Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Quantity_Declaration}@anchor{d9d}
+@deffn {Attribute} Quantity_Declaration = [<Iir_Kind.Free_Quantity_Declaration: 117>, <Iir_Kind.Spectrum_Quantity_Declaration: 118>, <Iir_Kind.Noise_Quantity_Declaration: 119>, <Iir_Kind.Across_Quantity_Declaration: 120>, <Iir_Kind.Through_Quantity_Declaration: 121>]
+@end deffn
+
+@geindex Non_Alias_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Non_Alias_Object_Declaration}@anchor{d9e}
+@deffn {Attribute} Non_Alias_Object_Declaration = [<Iir_Kind.File_Declaration: 122>, <Iir_Kind.Guard_Signal_Declaration: 123>, <Iir_Kind.Signal_Declaration: 124>, <Iir_Kind.Variable_Declaration: 125>, <Iir_Kind.Constant_Declaration: 126>, <Iir_Kind.Iterator_Declaration: 127>, <Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>]
+@end deffn
+
+@geindex Association_Element_Parameters (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_Parameters}@anchor{d9f}
+@deffn {Attribute} Association_Element_Parameters = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Individual: 21>, <Iir_Kind.Association_Element_Open: 22>]
+@end deffn
+
+@geindex Association_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element}@anchor{da0}
+@deffn {Attribute} Association_Element = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Individual: 21>, <Iir_Kind.Association_Element_Open: 22>, <Iir_Kind.Association_Element_Package: 23>, <Iir_Kind.Association_Element_Type: 24>, <Iir_Kind.Association_Element_Subprogram: 25>, <Iir_Kind.Association_Element_Terminal: 26>]
+@end deffn
+
+@geindex Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Choice}@anchor{da1}
+@deffn {Attribute} Choice = [<Iir_Kind.Choice_By_Range: 27>, <Iir_Kind.Choice_By_Expression: 28>, <Iir_Kind.Choice_By_Others: 29>, <Iir_Kind.Choice_By_None: 30>, <Iir_Kind.Choice_By_Name: 31>]
+@end deffn
+
+@geindex Case_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Case_Choice}@anchor{da2}
+@deffn {Attribute} Case_Choice = [<Iir_Kind.Choice_By_Range: 27>, <Iir_Kind.Choice_By_Expression: 28>, <Iir_Kind.Choice_By_Others: 29>]
+@end deffn
+
+@geindex Array_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Choice}@anchor{da3}
+@deffn {Attribute} Array_Choice = [<Iir_Kind.Choice_By_Range: 27>, <Iir_Kind.Choice_By_Expression: 28>, <Iir_Kind.Choice_By_Others: 29>, <Iir_Kind.Choice_By_None: 30>]
+@end deffn
+
+@geindex Record_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Record_Choice}@anchor{da4}
+@deffn {Attribute} Record_Choice = [<Iir_Kind.Choice_By_Others: 29>, <Iir_Kind.Choice_By_None: 30>, <Iir_Kind.Choice_By_Name: 31>]
+@end deffn
+
+@geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Entity_Aspect}@anchor{da5}
+@deffn {Attribute} Entity_Aspect = [<Iir_Kind.Entity_Aspect_Entity: 32>, <Iir_Kind.Entity_Aspect_Configuration: 33>, <Iir_Kind.Entity_Aspect_Open: 34>]
+@end deffn
+
+@geindex Denoting_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_Name}@anchor{da6}
+@deffn {Attribute} Denoting_Name = [<Iir_Kind.Character_Literal: 250>, <Iir_Kind.Simple_Name: 251>, <Iir_Kind.Selected_Name: 252>, <Iir_Kind.Operator_Symbol: 253>, <Iir_Kind.Reference_Name: 254>]
+@end deffn
+
+@geindex Denoting_And_External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_And_External_Name}@anchor{da7}
+@deffn {Attribute} Denoting_And_External_Name = [<Iir_Kind.Character_Literal: 250>, <Iir_Kind.Simple_Name: 251>, <Iir_Kind.Selected_Name: 252>, <Iir_Kind.Operator_Symbol: 253>, <Iir_Kind.Reference_Name: 254>, <Iir_Kind.External_Constant_Name: 255>, <Iir_Kind.External_Signal_Name: 256>, <Iir_Kind.External_Variable_Name: 257>]
+@end deffn
+
+@geindex Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name}@anchor{da8}
+@deffn {Attribute} Name = [<Iir_Kind.Character_Literal: 250>, <Iir_Kind.Simple_Name: 251>, <Iir_Kind.Selected_Name: 252>, <Iir_Kind.Operator_Symbol: 253>, <Iir_Kind.Reference_Name: 254>, <Iir_Kind.External_Constant_Name: 255>, <Iir_Kind.External_Signal_Name: 256>, <Iir_Kind.External_Variable_Name: 257>, <Iir_Kind.Selected_By_All_Name: 258>, <Iir_Kind.Parenthesis_Name: 259>]
+@end deffn
+
+@geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dereference}@anchor{da9}
+@deffn {Attribute} Dereference = [<Iir_Kind.Dereference: 192>, <Iir_Kind.Implicit_Dereference: 193>]
+@end deffn
+
+@geindex External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds External_Name}@anchor{daa}
+@deffn {Attribute} External_Name = [<Iir_Kind.External_Constant_Name: 255>, <Iir_Kind.External_Signal_Name: 256>, <Iir_Kind.External_Variable_Name: 257>]
+@end deffn
+
+@geindex Expression_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Expression_Attribute}@anchor{dab}
+@deffn {Attribute} Expression_Attribute = [<Iir_Kind.Left_Type_Attribute: 270>, <Iir_Kind.Right_Type_Attribute: 271>, <Iir_Kind.High_Type_Attribute: 272>, <Iir_Kind.Low_Type_Attribute: 273>, <Iir_Kind.Ascending_Type_Attribute: 274>, <Iir_Kind.Image_Attribute: 275>, <Iir_Kind.Value_Attribute: 276>, <Iir_Kind.Pos_Attribute: 277>, <Iir_Kind.Val_Attribute: 278>, <Iir_Kind.Succ_Attribute: 279>, <Iir_Kind.Pred_Attribute: 280>, <Iir_Kind.Leftof_Attribute: 281>, <Iir_Kind.Rightof_Attribute: 282>, <Iir_Kind.Signal_Slew_Attribute: 283>, <Iir_Kind.Quantity_Slew_Attribute: 284>, <Iir_Kind.Ramp_Attribute: 285>, <Iir_Kind.Zoh_Attribute: 286>, <Iir_Kind.Ltf_Attribute: 287>, <Iir_Kind.Ztf_Attribute: 288>, <Iir_Kind.Dot_Attribute: 289>, <Iir_Kind.Integ_Attribute: 290>, <Iir_Kind.Above_Attribute: 291>, <Iir_Kind.Quantity_Delayed_Attribute: 292>, <Iir_Kind.Delayed_Attribute: 293>, <Iir_Kind.Stable_Attribute: 294>, <Iir_Kind.Quiet_Attribute: 295>, <Iir_Kind.Transaction_Attribute: 296>, <Iir_Kind.Event_Attribute: 297>, <Iir_Kind.Active_Attribute: 298>, <Iir_Kind.Last_Event_Attribute: 299>, <Iir_Kind.Last_Active_Attribute: 300>, <Iir_Kind.Last_Value_Attribute: 301>, <Iir_Kind.Driving_Attribute: 302>, <Iir_Kind.Driving_Value_Attribute: 303>, <Iir_Kind.Behavior_Attribute: 304>, <Iir_Kind.Structure_Attribute: 305>, <Iir_Kind.Simple_Name_Attribute: 306>, <Iir_Kind.Instance_Name_Attribute: 307>, <Iir_Kind.Path_Name_Attribute: 308>, <Iir_Kind.Left_Array_Attribute: 309>, <Iir_Kind.Right_Array_Attribute: 310>, <Iir_Kind.High_Array_Attribute: 311>, <Iir_Kind.Low_Array_Attribute: 312>, <Iir_Kind.Length_Array_Attribute: 313>, <Iir_Kind.Ascending_Array_Attribute: 314>]
+@end deffn
+
+@geindex Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Attribute}@anchor{dac}
+@deffn {Attribute} Attribute = [<Iir_Kind.Base_Attribute: 264>, <Iir_Kind.Subtype_Attribute: 265>, <Iir_Kind.Element_Attribute: 266>, <Iir_Kind.Across_Attribute: 267>, <Iir_Kind.Through_Attribute: 268>, <Iir_Kind.Nature_Reference_Attribute: 269>, <Iir_Kind.Left_Type_Attribute: 270>, <Iir_Kind.Right_Type_Attribute: 271>, <Iir_Kind.High_Type_Attribute: 272>, <Iir_Kind.Low_Type_Attribute: 273>, <Iir_Kind.Ascending_Type_Attribute: 274>, <Iir_Kind.Image_Attribute: 275>, <Iir_Kind.Value_Attribute: 276>, <Iir_Kind.Pos_Attribute: 277>, <Iir_Kind.Val_Attribute: 278>, <Iir_Kind.Succ_Attribute: 279>, <Iir_Kind.Pred_Attribute: 280>, <Iir_Kind.Leftof_Attribute: 281>, <Iir_Kind.Rightof_Attribute: 282>, <Iir_Kind.Signal_Slew_Attribute: 283>, <Iir_Kind.Quantity_Slew_Attribute: 284>, <Iir_Kind.Ramp_Attribute: 285>, <Iir_Kind.Zoh_Attribute: 286>, <Iir_Kind.Ltf_Attribute: 287>, <Iir_Kind.Ztf_Attribute: 288>, <Iir_Kind.Dot_Attribute: 289>, <Iir_Kind.Integ_Attribute: 290>, <Iir_Kind.Above_Attribute: 291>, <Iir_Kind.Quantity_Delayed_Attribute: 292>, <Iir_Kind.Delayed_Attribute: 293>, <Iir_Kind.Stable_Attribute: 294>, <Iir_Kind.Quiet_Attribute: 295>, <Iir_Kind.Transaction_Attribute: 296>, <Iir_Kind.Event_Attribute: 297>, <Iir_Kind.Active_Attribute: 298>, <Iir_Kind.Last_Event_Attribute: 299>, <Iir_Kind.Last_Active_Attribute: 300>, <Iir_Kind.Last_Value_Attribute: 301>, <Iir_Kind.Driving_Attribute: 302>, <Iir_Kind.Driving_Value_Attribute: 303>, <Iir_Kind.Behavior_Attribute: 304>, <Iir_Kind.Structure_Attribute: 305>, <Iir_Kind.Simple_Name_Attribute: 306>, <Iir_Kind.Instance_Name_Attribute: 307>, <Iir_Kind.Path_Name_Attribute: 308>, <Iir_Kind.Left_Array_Attribute: 309>, <Iir_Kind.Right_Array_Attribute: 310>, <Iir_Kind.High_Array_Attribute: 311>, <Iir_Kind.Low_Array_Attribute: 312>, <Iir_Kind.Length_Array_Attribute: 313>, <Iir_Kind.Ascending_Array_Attribute: 314>, <Iir_Kind.Range_Array_Attribute: 315>, <Iir_Kind.Reverse_Range_Array_Attribute: 316>]
+@end deffn
+
+@geindex Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Attribute}@anchor{dad}
+@deffn {Attribute} Type_Attribute = [<Iir_Kind.Left_Type_Attribute: 270>, <Iir_Kind.Right_Type_Attribute: 271>, <Iir_Kind.High_Type_Attribute: 272>, <Iir_Kind.Low_Type_Attribute: 273>, <Iir_Kind.Ascending_Type_Attribute: 274>]
+@end deffn
+
+@geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Attribute}@anchor{dae}
+@deffn {Attribute} Subtype_Attribute = [<Iir_Kind.Base_Attribute: 264>, <Iir_Kind.Subtype_Attribute: 265>, <Iir_Kind.Element_Attribute: 266>]
+@end deffn
+
+@geindex Scalar_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_Attribute}@anchor{daf}
+@deffn {Attribute} Scalar_Type_Attribute = [<Iir_Kind.Pos_Attribute: 277>, <Iir_Kind.Val_Attribute: 278>, <Iir_Kind.Succ_Attribute: 279>, <Iir_Kind.Pred_Attribute: 280>, <Iir_Kind.Leftof_Attribute: 281>, <Iir_Kind.Rightof_Attribute: 282>]
+@end deffn
+
+@geindex Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Attribute}@anchor{db0}
+@deffn {Attribute} Array_Attribute = [<Iir_Kind.Left_Array_Attribute: 309>, <Iir_Kind.Right_Array_Attribute: 310>, <Iir_Kind.High_Array_Attribute: 311>, <Iir_Kind.Low_Array_Attribute: 312>, <Iir_Kind.Length_Array_Attribute: 313>, <Iir_Kind.Ascending_Array_Attribute: 314>, <Iir_Kind.Range_Array_Attribute: 315>, <Iir_Kind.Reverse_Range_Array_Attribute: 316>]
+@end deffn
+
+@geindex Range_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Attribute}@anchor{db1}
+@deffn {Attribute} Range_Attribute = [<Iir_Kind.Range_Array_Attribute: 315>, <Iir_Kind.Reverse_Range_Array_Attribute: 316>]
+@end deffn
+
+@geindex Signal_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Attribute}@anchor{db2}
+@deffn {Attribute} Signal_Attribute = [<Iir_Kind.Delayed_Attribute: 293>, <Iir_Kind.Stable_Attribute: 294>, <Iir_Kind.Quiet_Attribute: 295>, <Iir_Kind.Transaction_Attribute: 296>]
+@end deffn
+
+@geindex Signal_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Value_Attribute}@anchor{db3}
+@deffn {Attribute} Signal_Value_Attribute = [<Iir_Kind.Event_Attribute: 297>, <Iir_Kind.Active_Attribute: 298>, <Iir_Kind.Last_Event_Attribute: 299>, <Iir_Kind.Last_Active_Attribute: 300>, <Iir_Kind.Last_Value_Attribute: 301>, <Iir_Kind.Driving_Attribute: 302>, <Iir_Kind.Driving_Value_Attribute: 303>]
+@end deffn
+
+@geindex Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name_Attribute}@anchor{db4}
+@deffn {Attribute} Name_Attribute = [<Iir_Kind.Simple_Name_Attribute: 306>, <Iir_Kind.Instance_Name_Attribute: 307>, <Iir_Kind.Path_Name_Attribute: 308>]
+@end deffn
+
+@geindex Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Statement}@anchor{db5}
+@deffn {Attribute} Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 203>, <Iir_Kind.Process_Statement: 204>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 205>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 206>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Assertion_Statement: 208>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 209>, <Iir_Kind.Concurrent_Break_Statement: 210>, <Iir_Kind.Psl_Assert_Directive: 211>, <Iir_Kind.Psl_Assume_Directive: 212>, <Iir_Kind.Psl_Cover_Directive: 213>, <Iir_Kind.Psl_Restrict_Directive: 214>, <Iir_Kind.Block_Statement: 215>, <Iir_Kind.If_Generate_Statement: 216>, <Iir_Kind.Case_Generate_Statement: 217>, <Iir_Kind.For_Generate_Statement: 218>, <Iir_Kind.Component_Instantiation_Statement: 219>, <Iir_Kind.Psl_Default_Clock: 220>]
+@end deffn
+
+@geindex Simple_Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simple_Concurrent_Statement}@anchor{db6}
+@deffn {Attribute} Simple_Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 203>, <Iir_Kind.Process_Statement: 204>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 205>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 206>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Assertion_Statement: 208>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 209>, <Iir_Kind.Concurrent_Break_Statement: 210>, <Iir_Kind.Psl_Assert_Directive: 211>, <Iir_Kind.Psl_Assume_Directive: 212>, <Iir_Kind.Psl_Cover_Directive: 213>, <Iir_Kind.Psl_Restrict_Directive: 214>]
+@end deffn
+
+@geindex Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Generate_Statement}@anchor{db7}
+@deffn {Attribute} Generate_Statement = [<Iir_Kind.If_Generate_Statement: 216>, <Iir_Kind.Case_Generate_Statement: 217>, <Iir_Kind.For_Generate_Statement: 218>]
+@end deffn
+
+@geindex Concurrent_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Signal_Assignment}@anchor{db8}
+@deffn {Attribute} Concurrent_Signal_Assignment = [<Iir_Kind.Concurrent_Simple_Signal_Assignment: 205>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 206>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 207>]
+@end deffn
+
+@geindex If_Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds If_Case_Generate_Statement}@anchor{db9}
+@deffn {Attribute} If_Case_Generate_Statement = [<Iir_Kind.If_Generate_Statement: 216>, <Iir_Kind.Case_Generate_Statement: 217>]
+@end deffn
+
+@geindex Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simultaneous_Statement}@anchor{dba}
+@deffn {Attribute} Simultaneous_Statement = [<Iir_Kind.Simple_Simultaneous_Statement: 223>, <Iir_Kind.Simultaneous_Null_Statement: 224>, <Iir_Kind.Simultaneous_Procedural_Statement: 225>, <Iir_Kind.Simultaneous_Case_Statement: 226>, <Iir_Kind.Simultaneous_If_Statement: 227>]
+@end deffn
+
+@geindex Sequential_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Sequential_Statement}@anchor{dbb}
+@deffn {Attribute} Sequential_Statement = [<Iir_Kind.Simple_Signal_Assignment_Statement: 229>, <Iir_Kind.Conditional_Signal_Assignment_Statement: 230>, <Iir_Kind.Selected_Waveform_Assignment_Statement: 231>, <Iir_Kind.Signal_Force_Assignment_Statement: 232>, <Iir_Kind.Signal_Release_Assignment_Statement: 233>, <Iir_Kind.Null_Statement: 234>, <Iir_Kind.Assertion_Statement: 235>, <Iir_Kind.Report_Statement: 236>, <Iir_Kind.Wait_Statement: 237>, <Iir_Kind.Variable_Assignment_Statement: 238>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 239>, <Iir_Kind.Return_Statement: 240>, <Iir_Kind.For_Loop_Statement: 241>, <Iir_Kind.While_Loop_Statement: 242>, <Iir_Kind.Next_Statement: 243>, <Iir_Kind.Exit_Statement: 244>, <Iir_Kind.Case_Statement: 245>, <Iir_Kind.Procedure_Call_Statement: 246>, <Iir_Kind.Break_Statement: 247>, <Iir_Kind.If_Statement: 248>]
+@end deffn
+
+@geindex Next_Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Next_Exit_Statement}@anchor{dbc}
+@deffn {Attribute} Next_Exit_Statement = [<Iir_Kind.Next_Statement: 243>, <Iir_Kind.Exit_Statement: 244>]
+@end deffn
+
+@geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Variable_Assignment_Statement}@anchor{dbd}
+@deffn {Attribute} Variable_Assignment_Statement = [<Iir_Kind.Variable_Assignment_Statement: 238>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 239>]
+@end deffn
+
+@geindex Allocator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Allocator}@anchor{dbe}
+@deffn {Attribute} Allocator = [<Iir_Kind.Allocator_By_Expression: 189>, <Iir_Kind.Allocator_By_Subtype: 190>]
+@end deffn
+
+@geindex Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Clause}@anchor{dbf}
+@deffn {Attribute} Clause = [<Iir_Kind.Library_Clause: 5>, <Iir_Kind.Use_Clause: 6>, <Iir_Kind.Context_Reference: 7>]
+@end deffn
+
+@geindex Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Specification}@anchor{dc0}
+@deffn {Attribute} Specification = [<Iir_Kind.Attribute_Specification: 50>, <Iir_Kind.Disconnection_Specification: 51>, <Iir_Kind.Step_Limit_Specification: 52>, <Iir_Kind.Configuration_Specification: 53>]
+@end deffn
+@end deffn
+
+@geindex Iir_Mode (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode}@anchor{94e}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Mode (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-fc23e6bf4de861ecf2d0c37364c753f6eaf7b38e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Unknown_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Unknown_Mode}@anchor{dc1}
+@deffn {Attribute} Unknown_Mode = 0
+@end deffn
+
+@geindex Linkage_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Linkage_Mode}@anchor{dc2}
+@deffn {Attribute} Linkage_Mode = 1
+@end deffn
+
+@geindex Buffer_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Buffer_Mode}@anchor{dc3}
+@deffn {Attribute} Buffer_Mode = 2
+@end deffn
+
+@geindex Out_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Out_Mode}@anchor{dc4}
+@deffn {Attribute} Out_Mode = 3
+@end deffn
+
+@geindex Inout_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Inout_Mode}@anchor{dc5}
+@deffn {Attribute} Inout_Mode = 4
+@end deffn
+
+@geindex In_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode In_Mode}@anchor{dc6}
+@deffn {Attribute} In_Mode = 5
+@end deffn
+@end deffn
+
+@geindex ScalarSize (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize}@anchor{94f}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.ScalarSize (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-5a69a418d7f866a05f259a18b2bd9c275c8cfff5,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Scalar_8 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_8}@anchor{dc7}
+@deffn {Attribute} Scalar_8 = 0
+@end deffn
+
+@geindex Scalar_16 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_16}@anchor{dc8}
+@deffn {Attribute} Scalar_16 = 1
+@end deffn
+
+@geindex Scalar_32 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_32}@anchor{dc9}
+@deffn {Attribute} Scalar_32 = 2
+@end deffn
+
+@geindex Scalar_64 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_64}@anchor{dca}
+@deffn {Attribute} Scalar_64 = 3
+@end deffn
+@end deffn
+
+@geindex Iir_Staticness (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness}@anchor{950}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Staticness (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-67f462b3bfa946d6dc68ad6b2953dd767422048b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Unknown (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Unknown}@anchor{dcb}
+@deffn {Attribute} Unknown = 0
+@end deffn
+
+@geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness PNone}@anchor{dcc}
+@deffn {Attribute} PNone = 1
+@end deffn
+
+@geindex Globally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Globally}@anchor{dcd}
+@deffn {Attribute} Globally = 2
+@end deffn
+
+@geindex Locally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Locally}@anchor{dce}
+@deffn {Attribute} Locally = 3
+@end deffn
+@end deffn
+
+@geindex Iir_Constraint (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint}@anchor{951}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Constraint (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-8b51132dcd1c80b1156d14dbe4271d5953916f21,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Unconstrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Unconstrained}@anchor{dcf}
+@deffn {Attribute} Unconstrained = 0
+@end deffn
+
+@geindex Partially_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Partially_Constrained}@anchor{dd0}
+@deffn {Attribute} Partially_Constrained = 1
+@end deffn
+
+@geindex Fully_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Fully_Constrained}@anchor{dd1}
+@deffn {Attribute} Fully_Constrained = 2
+@end deffn
+@end deffn
+
+@geindex Iir_Delay_Mechanism (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism}@anchor{952}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-bd120d73b2bd68f6ab9afe3e559792648aec2ea5,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Inertial_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Inertial_Delay}@anchor{dd2}
+@deffn {Attribute} Inertial_Delay = 0
+@end deffn
+
+@geindex Transport_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Transport_Delay}@anchor{dd3}
+@deffn {Attribute} Transport_Delay = 1
+@end deffn
+@end deffn
+
+@geindex DateStateType (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType}@anchor{953}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.DateStateType (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-333f760efcdc08cb248beca56f3aab4eabf87feb,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Extern (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Extern}@anchor{dd4}
+@deffn {Attribute} Extern = 0
+@end deffn
+
+@geindex Disk (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Disk}@anchor{dd5}
+@deffn {Attribute} Disk = 1
+@end deffn
+
+@geindex Parse (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Parse}@anchor{dd6}
+@deffn {Attribute} Parse = 2
+@end deffn
+
+@geindex Analyze (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Analyze}@anchor{dd7}
+@deffn {Attribute} Analyze = 3
+@end deffn
+@end deffn
+
+@geindex NumberBaseType (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType}@anchor{954}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.NumberBaseType (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-fa92fa0fd9f86302cad74d4e6567b36c703f1e0a,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Base_None (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_None}@anchor{dd8}
+@deffn {Attribute} Base_None = 0
+@end deffn
+
+@geindex Base_2 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_2}@anchor{dd9}
+@deffn {Attribute} Base_2 = 1
+@end deffn
+
+@geindex Base_8 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_8}@anchor{dda}
+@deffn {Attribute} Base_8 = 2
+@end deffn
+
+@geindex Base_10 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_10}@anchor{ddb}
+@deffn {Attribute} Base_10 = 3
+@end deffn
+
+@geindex Base_16 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_16}@anchor{ddc}
+@deffn {Attribute} Base_16 = 4
+@end deffn
+@end deffn
+
+@geindex Iir_Predefined (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined}@anchor{955}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Predefined (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-08f1fb61020045018fcd55dafc8f634507bd3ec9,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Error}@anchor{ddd}
+@deffn {Attribute} Error = 0
+@end deffn
+
+@geindex Boolean_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_And}@anchor{dde}
+@deffn {Attribute} Boolean_And = 1
+@end deffn
+
+@geindex Boolean_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Or}@anchor{ddf}
+@deffn {Attribute} Boolean_Or = 2
+@end deffn
+
+@geindex Boolean_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nand}@anchor{de0}
+@deffn {Attribute} Boolean_Nand = 3
+@end deffn
+
+@geindex Boolean_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nor}@anchor{de1}
+@deffn {Attribute} Boolean_Nor = 4
+@end deffn
+
+@geindex Boolean_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xor}@anchor{de2}
+@deffn {Attribute} Boolean_Xor = 5
+@end deffn
+
+@geindex Boolean_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xnor}@anchor{de3}
+@deffn {Attribute} Boolean_Xnor = 6
+@end deffn
+
+@geindex Boolean_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Not}@anchor{de4}
+@deffn {Attribute} Boolean_Not = 7
+@end deffn
+
+@geindex Boolean_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Rising_Edge}@anchor{de5}
+@deffn {Attribute} Boolean_Rising_Edge = 8
+@end deffn
+
+@geindex Boolean_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Falling_Edge}@anchor{de6}
+@deffn {Attribute} Boolean_Falling_Edge = 9
+@end deffn
+
+@geindex Enum_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Equality}@anchor{de7}
+@deffn {Attribute} Enum_Equality = 10
+@end deffn
+
+@geindex Enum_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Inequality}@anchor{de8}
+@deffn {Attribute} Enum_Inequality = 11
+@end deffn
+
+@geindex Enum_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less}@anchor{de9}
+@deffn {Attribute} Enum_Less = 12
+@end deffn
+
+@geindex Enum_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less_Equal}@anchor{dea}
+@deffn {Attribute} Enum_Less_Equal = 13
+@end deffn
+
+@geindex Enum_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater}@anchor{deb}
+@deffn {Attribute} Enum_Greater = 14
+@end deffn
+
+@geindex Enum_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater_Equal}@anchor{dec}
+@deffn {Attribute} Enum_Greater_Equal = 15
+@end deffn
+
+@geindex Enum_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Minimum}@anchor{ded}
+@deffn {Attribute} Enum_Minimum = 16
+@end deffn
+
+@geindex Enum_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Maximum}@anchor{dee}
+@deffn {Attribute} Enum_Maximum = 17
+@end deffn
+
+@geindex Enum_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_To_String}@anchor{def}
+@deffn {Attribute} Enum_To_String = 18
+@end deffn
+
+@geindex Bit_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_And}@anchor{df0}
+@deffn {Attribute} Bit_And = 19
+@end deffn
+
+@geindex Bit_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Or}@anchor{df1}
+@deffn {Attribute} Bit_Or = 20
+@end deffn
+
+@geindex Bit_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nand}@anchor{df2}
+@deffn {Attribute} Bit_Nand = 21
+@end deffn
+
+@geindex Bit_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nor}@anchor{df3}
+@deffn {Attribute} Bit_Nor = 22
+@end deffn
+
+@geindex Bit_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xor}@anchor{df4}
+@deffn {Attribute} Bit_Xor = 23
+@end deffn
+
+@geindex Bit_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xnor}@anchor{df5}
+@deffn {Attribute} Bit_Xnor = 24
+@end deffn
+
+@geindex Bit_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Not}@anchor{df6}
+@deffn {Attribute} Bit_Not = 25
+@end deffn
+
+@geindex Bit_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Equality}@anchor{df7}
+@deffn {Attribute} Bit_Match_Equality = 26
+@end deffn
+
+@geindex Bit_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Inequality}@anchor{df8}
+@deffn {Attribute} Bit_Match_Inequality = 27
+@end deffn
+
+@geindex Bit_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less}@anchor{df9}
+@deffn {Attribute} Bit_Match_Less = 28
+@end deffn
+
+@geindex Bit_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less_Equal}@anchor{dfa}
+@deffn {Attribute} Bit_Match_Less_Equal = 29
+@end deffn
+
+@geindex Bit_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater}@anchor{dfb}
+@deffn {Attribute} Bit_Match_Greater = 30
+@end deffn
+
+@geindex Bit_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater_Equal}@anchor{dfc}
+@deffn {Attribute} Bit_Match_Greater_Equal = 31
+@end deffn
+
+@geindex Bit_Condition (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Condition}@anchor{dfd}
+@deffn {Attribute} Bit_Condition = 32
+@end deffn
+
+@geindex Bit_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Rising_Edge}@anchor{dfe}
+@deffn {Attribute} Bit_Rising_Edge = 33
+@end deffn
+
+@geindex Bit_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Falling_Edge}@anchor{dff}
+@deffn {Attribute} Bit_Falling_Edge = 34
+@end deffn
+
+@geindex Integer_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Equality}@anchor{e00}
+@deffn {Attribute} Integer_Equality = 35
+@end deffn
+
+@geindex Integer_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Inequality}@anchor{e01}
+@deffn {Attribute} Integer_Inequality = 36
+@end deffn
+
+@geindex Integer_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less}@anchor{e02}
+@deffn {Attribute} Integer_Less = 37
+@end deffn
+
+@geindex Integer_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less_Equal}@anchor{e03}
+@deffn {Attribute} Integer_Less_Equal = 38
+@end deffn
+
+@geindex Integer_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater}@anchor{e04}
+@deffn {Attribute} Integer_Greater = 39
+@end deffn
+
+@geindex Integer_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater_Equal}@anchor{e05}
+@deffn {Attribute} Integer_Greater_Equal = 40
+@end deffn
+
+@geindex Integer_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Identity}@anchor{e06}
+@deffn {Attribute} Integer_Identity = 41
+@end deffn
+
+@geindex Integer_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Negation}@anchor{e07}
+@deffn {Attribute} Integer_Negation = 42
+@end deffn
+
+@geindex Integer_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Absolute}@anchor{e08}
+@deffn {Attribute} Integer_Absolute = 43
+@end deffn
+
+@geindex Integer_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Plus}@anchor{e09}
+@deffn {Attribute} Integer_Plus = 44
+@end deffn
+
+@geindex Integer_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minus}@anchor{e0a}
+@deffn {Attribute} Integer_Minus = 45
+@end deffn
+
+@geindex Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mul}@anchor{e0b}
+@deffn {Attribute} Integer_Mul = 46
+@end deffn
+
+@geindex Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Div}@anchor{e0c}
+@deffn {Attribute} Integer_Div = 47
+@end deffn
+
+@geindex Integer_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mod}@anchor{e0d}
+@deffn {Attribute} Integer_Mod = 48
+@end deffn
+
+@geindex Integer_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Rem}@anchor{e0e}
+@deffn {Attribute} Integer_Rem = 49
+@end deffn
+
+@geindex Integer_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Exp}@anchor{e0f}
+@deffn {Attribute} Integer_Exp = 50
+@end deffn
+
+@geindex Integer_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minimum}@anchor{e10}
+@deffn {Attribute} Integer_Minimum = 51
+@end deffn
+
+@geindex Integer_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Maximum}@anchor{e11}
+@deffn {Attribute} Integer_Maximum = 52
+@end deffn
+
+@geindex Integer_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_To_String}@anchor{e12}
+@deffn {Attribute} Integer_To_String = 53
+@end deffn
+
+@geindex Floating_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Equality}@anchor{e13}
+@deffn {Attribute} Floating_Equality = 54
+@end deffn
+
+@geindex Floating_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Inequality}@anchor{e14}
+@deffn {Attribute} Floating_Inequality = 55
+@end deffn
+
+@geindex Floating_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less}@anchor{e15}
+@deffn {Attribute} Floating_Less = 56
+@end deffn
+
+@geindex Floating_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less_Equal}@anchor{e16}
+@deffn {Attribute} Floating_Less_Equal = 57
+@end deffn
+
+@geindex Floating_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater}@anchor{e17}
+@deffn {Attribute} Floating_Greater = 58
+@end deffn
+
+@geindex Floating_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater_Equal}@anchor{e18}
+@deffn {Attribute} Floating_Greater_Equal = 59
+@end deffn
+
+@geindex Floating_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Identity}@anchor{e19}
+@deffn {Attribute} Floating_Identity = 60
+@end deffn
+
+@geindex Floating_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Negation}@anchor{e1a}
+@deffn {Attribute} Floating_Negation = 61
+@end deffn
+
+@geindex Floating_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Absolute}@anchor{e1b}
+@deffn {Attribute} Floating_Absolute = 62
+@end deffn
+
+@geindex Floating_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Plus}@anchor{e1c}
+@deffn {Attribute} Floating_Plus = 63
+@end deffn
+
+@geindex Floating_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minus}@anchor{e1d}
+@deffn {Attribute} Floating_Minus = 64
+@end deffn
+
+@geindex Floating_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Mul}@anchor{e1e}
+@deffn {Attribute} Floating_Mul = 65
+@end deffn
+
+@geindex Floating_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Div}@anchor{e1f}
+@deffn {Attribute} Floating_Div = 66
+@end deffn
+
+@geindex Floating_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Exp}@anchor{e20}
+@deffn {Attribute} Floating_Exp = 67
+@end deffn
+
+@geindex Floating_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minimum}@anchor{e21}
+@deffn {Attribute} Floating_Minimum = 68
+@end deffn
+
+@geindex Floating_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Maximum}@anchor{e22}
+@deffn {Attribute} Floating_Maximum = 69
+@end deffn
+
+@geindex Floating_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_To_String}@anchor{e23}
+@deffn {Attribute} Floating_To_String = 70
+@end deffn
+
+@geindex Real_To_String_Digits (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Digits}@anchor{e24}
+@deffn {Attribute} Real_To_String_Digits = 71
+@end deffn
+
+@geindex Real_To_String_Format (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Format}@anchor{e25}
+@deffn {Attribute} Real_To_String_Format = 72
+@end deffn
+
+@geindex Universal_R_I_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Mul}@anchor{e26}
+@deffn {Attribute} Universal_R_I_Mul = 73
+@end deffn
+
+@geindex Universal_I_R_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_I_R_Mul}@anchor{e27}
+@deffn {Attribute} Universal_I_R_Mul = 74
+@end deffn
+
+@geindex Universal_R_I_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Div}@anchor{e28}
+@deffn {Attribute} Universal_R_I_Div = 75
+@end deffn
+
+@geindex Physical_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Equality}@anchor{e29}
+@deffn {Attribute} Physical_Equality = 76
+@end deffn
+
+@geindex Physical_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Inequality}@anchor{e2a}
+@deffn {Attribute} Physical_Inequality = 77
+@end deffn
+
+@geindex Physical_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less}@anchor{e2b}
+@deffn {Attribute} Physical_Less = 78
+@end deffn
+
+@geindex Physical_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less_Equal}@anchor{e2c}
+@deffn {Attribute} Physical_Less_Equal = 79
+@end deffn
+
+@geindex Physical_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater}@anchor{e2d}
+@deffn {Attribute} Physical_Greater = 80
+@end deffn
+
+@geindex Physical_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater_Equal}@anchor{e2e}
+@deffn {Attribute} Physical_Greater_Equal = 81
+@end deffn
+
+@geindex Physical_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Identity}@anchor{e2f}
+@deffn {Attribute} Physical_Identity = 82
+@end deffn
+
+@geindex Physical_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Negation}@anchor{e30}
+@deffn {Attribute} Physical_Negation = 83
+@end deffn
+
+@geindex Physical_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Absolute}@anchor{e31}
+@deffn {Attribute} Physical_Absolute = 84
+@end deffn
+
+@geindex Physical_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Plus}@anchor{e32}
+@deffn {Attribute} Physical_Plus = 85
+@end deffn
+
+@geindex Physical_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minus}@anchor{e33}
+@deffn {Attribute} Physical_Minus = 86
+@end deffn
+
+@geindex Physical_Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Mul}@anchor{e34}
+@deffn {Attribute} Physical_Integer_Mul = 87
+@end deffn
+
+@geindex Physical_Real_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Mul}@anchor{e35}
+@deffn {Attribute} Physical_Real_Mul = 88
+@end deffn
+
+@geindex Integer_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Physical_Mul}@anchor{e36}
+@deffn {Attribute} Integer_Physical_Mul = 89
+@end deffn
+
+@geindex Real_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Physical_Mul}@anchor{e37}
+@deffn {Attribute} Real_Physical_Mul = 90
+@end deffn
+
+@geindex Physical_Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Div}@anchor{e38}
+@deffn {Attribute} Physical_Integer_Div = 91
+@end deffn
+
+@geindex Physical_Real_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Div}@anchor{e39}
+@deffn {Attribute} Physical_Real_Div = 92
+@end deffn
+
+@geindex Physical_Physical_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Physical_Div}@anchor{e3a}
+@deffn {Attribute} Physical_Physical_Div = 93
+@end deffn
+
+@geindex Physical_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minimum}@anchor{e3b}
+@deffn {Attribute} Physical_Minimum = 94
+@end deffn
+
+@geindex Physical_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Maximum}@anchor{e3c}
+@deffn {Attribute} Physical_Maximum = 95
+@end deffn
+
+@geindex Physical_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_To_String}@anchor{e3d}
+@deffn {Attribute} Physical_To_String = 96
+@end deffn
+
+@geindex Time_To_String_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Time_To_String_Unit}@anchor{e3e}
+@deffn {Attribute} Time_To_String_Unit = 97
+@end deffn
+
+@geindex Access_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Equality}@anchor{e3f}
+@deffn {Attribute} Access_Equality = 98
+@end deffn
+
+@geindex Access_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Inequality}@anchor{e40}
+@deffn {Attribute} Access_Inequality = 99
+@end deffn
+
+@geindex Record_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Equality}@anchor{e41}
+@deffn {Attribute} Record_Equality = 100
+@end deffn
+
+@geindex Record_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Inequality}@anchor{e42}
+@deffn {Attribute} Record_Inequality = 101
+@end deffn
+
+@geindex Array_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Equality}@anchor{e43}
+@deffn {Attribute} Array_Equality = 102
+@end deffn
+
+@geindex Array_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Inequality}@anchor{e44}
+@deffn {Attribute} Array_Inequality = 103
+@end deffn
+
+@geindex Array_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less}@anchor{e45}
+@deffn {Attribute} Array_Less = 104
+@end deffn
+
+@geindex Array_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less_Equal}@anchor{e46}
+@deffn {Attribute} Array_Less_Equal = 105
+@end deffn
+
+@geindex Array_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater}@anchor{e47}
+@deffn {Attribute} Array_Greater = 106
+@end deffn
+
+@geindex Array_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater_Equal}@anchor{e48}
+@deffn {Attribute} Array_Greater_Equal = 107
+@end deffn
+
+@geindex Array_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Array_Concat}@anchor{e49}
+@deffn {Attribute} Array_Array_Concat = 108
+@end deffn
+
+@geindex Array_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Element_Concat}@anchor{e4a}
+@deffn {Attribute} Array_Element_Concat = 109
+@end deffn
+
+@geindex Element_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Array_Concat}@anchor{e4b}
+@deffn {Attribute} Element_Array_Concat = 110
+@end deffn
+
+@geindex Element_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Element_Concat}@anchor{e4c}
+@deffn {Attribute} Element_Element_Concat = 111
+@end deffn
+
+@geindex Array_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Minimum}@anchor{e4d}
+@deffn {Attribute} Array_Minimum = 112
+@end deffn
+
+@geindex Array_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Maximum}@anchor{e4e}
+@deffn {Attribute} Array_Maximum = 113
+@end deffn
+
+@geindex Vector_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Minimum}@anchor{e4f}
+@deffn {Attribute} Vector_Minimum = 114
+@end deffn
+
+@geindex Vector_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Maximum}@anchor{e50}
+@deffn {Attribute} Vector_Maximum = 115
+@end deffn
+
+@geindex Array_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sll}@anchor{e51}
+@deffn {Attribute} Array_Sll = 116
+@end deffn
+
+@geindex Array_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Srl}@anchor{e52}
+@deffn {Attribute} Array_Srl = 117
+@end deffn
+
+@geindex Array_Sla (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sla}@anchor{e53}
+@deffn {Attribute} Array_Sla = 118
+@end deffn
+
+@geindex Array_Sra (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sra}@anchor{e54}
+@deffn {Attribute} Array_Sra = 119
+@end deffn
+
+@geindex Array_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Rol}@anchor{e55}
+@deffn {Attribute} Array_Rol = 120
+@end deffn
+
+@geindex Array_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Ror}@anchor{e56}
+@deffn {Attribute} Array_Ror = 121
+@end deffn
+
+@geindex TF_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_And}@anchor{e57}
+@deffn {Attribute} TF_Array_And = 122
+@end deffn
+
+@geindex TF_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Or}@anchor{e58}
+@deffn {Attribute} TF_Array_Or = 123
+@end deffn
+
+@geindex TF_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nand}@anchor{e59}
+@deffn {Attribute} TF_Array_Nand = 124
+@end deffn
+
+@geindex TF_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nor}@anchor{e5a}
+@deffn {Attribute} TF_Array_Nor = 125
+@end deffn
+
+@geindex TF_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xor}@anchor{e5b}
+@deffn {Attribute} TF_Array_Xor = 126
+@end deffn
+
+@geindex TF_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xnor}@anchor{e5c}
+@deffn {Attribute} TF_Array_Xnor = 127
+@end deffn
+
+@geindex TF_Array_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Not}@anchor{e5d}
+@deffn {Attribute} TF_Array_Not = 128
+@end deffn
+
+@geindex TF_Reduction_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_And}@anchor{e5e}
+@deffn {Attribute} TF_Reduction_And = 129
+@end deffn
+
+@geindex TF_Reduction_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Or}@anchor{e5f}
+@deffn {Attribute} TF_Reduction_Or = 130
+@end deffn
+
+@geindex TF_Reduction_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nand}@anchor{e60}
+@deffn {Attribute} TF_Reduction_Nand = 131
+@end deffn
+
+@geindex TF_Reduction_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nor}@anchor{e61}
+@deffn {Attribute} TF_Reduction_Nor = 132
+@end deffn
+
+@geindex TF_Reduction_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xor}@anchor{e62}
+@deffn {Attribute} TF_Reduction_Xor = 133
+@end deffn
+
+@geindex TF_Reduction_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xnor}@anchor{e63}
+@deffn {Attribute} TF_Reduction_Xnor = 134
+@end deffn
+
+@geindex TF_Reduction_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Not}@anchor{e64}
+@deffn {Attribute} TF_Reduction_Not = 135
+@end deffn
+
+@geindex TF_Array_Element_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_And}@anchor{e65}
+@deffn {Attribute} TF_Array_Element_And = 136
+@end deffn
+
+@geindex TF_Element_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_And}@anchor{e66}
+@deffn {Attribute} TF_Element_Array_And = 137
+@end deffn
+
+@geindex TF_Array_Element_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Or}@anchor{e67}
+@deffn {Attribute} TF_Array_Element_Or = 138
+@end deffn
+
+@geindex TF_Element_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Or}@anchor{e68}
+@deffn {Attribute} TF_Element_Array_Or = 139
+@end deffn
+
+@geindex TF_Array_Element_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nand}@anchor{e69}
+@deffn {Attribute} TF_Array_Element_Nand = 140
+@end deffn
+
+@geindex TF_Element_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nand}@anchor{e6a}
+@deffn {Attribute} TF_Element_Array_Nand = 141
+@end deffn
+
+@geindex TF_Array_Element_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nor}@anchor{e6b}
+@deffn {Attribute} TF_Array_Element_Nor = 142
+@end deffn
+
+@geindex TF_Element_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nor}@anchor{e6c}
+@deffn {Attribute} TF_Element_Array_Nor = 143
+@end deffn
+
+@geindex TF_Array_Element_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xor}@anchor{e6d}
+@deffn {Attribute} TF_Array_Element_Xor = 144
+@end deffn
+
+@geindex TF_Element_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xor}@anchor{e6e}
+@deffn {Attribute} TF_Element_Array_Xor = 145
+@end deffn
+
+@geindex TF_Array_Element_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xnor}@anchor{e6f}
+@deffn {Attribute} TF_Array_Element_Xnor = 146
+@end deffn
+
+@geindex TF_Element_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xnor}@anchor{e70}
+@deffn {Attribute} TF_Element_Array_Xnor = 147
+@end deffn
+
+@geindex Bit_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Equality}@anchor{e71}
+@deffn {Attribute} Bit_Array_Match_Equality = 148
+@end deffn
+
+@geindex Bit_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Inequality}@anchor{e72}
+@deffn {Attribute} Bit_Array_Match_Inequality = 149
+@end deffn
+
+@geindex Array_Char_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Char_To_String}@anchor{e73}
+@deffn {Attribute} Array_Char_To_String = 150
+@end deffn
+
+@geindex Bit_Vector_To_Ostring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Ostring}@anchor{e74}
+@deffn {Attribute} Bit_Vector_To_Ostring = 151
+@end deffn
+
+@geindex Bit_Vector_To_Hstring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Hstring}@anchor{e75}
+@deffn {Attribute} Bit_Vector_To_Hstring = 152
+@end deffn
+
+@geindex Std_Ulogic_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Equality}@anchor{e76}
+@deffn {Attribute} Std_Ulogic_Match_Equality = 153
+@end deffn
+
+@geindex Std_Ulogic_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Inequality}@anchor{e77}
+@deffn {Attribute} Std_Ulogic_Match_Inequality = 154
+@end deffn
+
+@geindex Std_Ulogic_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less}@anchor{e78}
+@deffn {Attribute} Std_Ulogic_Match_Less = 155
+@end deffn
+
+@geindex Std_Ulogic_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less_Equal}@anchor{e79}
+@deffn {Attribute} Std_Ulogic_Match_Less_Equal = 156
+@end deffn
+
+@geindex Std_Ulogic_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater}@anchor{e7a}
+@deffn {Attribute} Std_Ulogic_Match_Greater = 157
+@end deffn
+
+@geindex Std_Ulogic_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater_Equal}@anchor{e7b}
+@deffn {Attribute} Std_Ulogic_Match_Greater_Equal = 158
+@end deffn
+
+@geindex Std_Ulogic_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Equality}@anchor{e7c}
+@deffn {Attribute} Std_Ulogic_Array_Match_Equality = 159
+@end deffn
+
+@geindex Std_Ulogic_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Inequality}@anchor{e7d}
+@deffn {Attribute} Std_Ulogic_Array_Match_Inequality = 160
+@end deffn
+
+@geindex Deallocate (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Deallocate}@anchor{e7e}
+@deffn {Attribute} Deallocate = 161
+@end deffn
+
+@geindex File_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open}@anchor{e7f}
+@deffn {Attribute} File_Open = 162
+@end deffn
+
+@geindex File_Open_Status (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open_Status}@anchor{e80}
+@deffn {Attribute} File_Open_Status = 163
+@end deffn
+
+@geindex File_Close (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Close}@anchor{e81}
+@deffn {Attribute} File_Close = 164
+@end deffn
+
+@geindex Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read}@anchor{e82}
+@deffn {Attribute} Read = 165
+@end deffn
+
+@geindex Read_Length (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read_Length}@anchor{e83}
+@deffn {Attribute} Read_Length = 166
+@end deffn
+
+@geindex Flush (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Flush}@anchor{e84}
+@deffn {Attribute} Flush = 167
+@end deffn
+
+@geindex Write (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Write}@anchor{e85}
+@deffn {Attribute} Write = 168
+@end deffn
+
+@geindex Endfile (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Endfile}@anchor{e86}
+@deffn {Attribute} Endfile = 169
+@end deffn
+
+@geindex Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Now_Function}@anchor{e87}
+@deffn {Attribute} Now_Function = 170
+@end deffn
+
+@geindex Real_Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Now_Function}@anchor{e88}
+@deffn {Attribute} Real_Now_Function = 171
+@end deffn
+
+@geindex Frequency_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Frequency_Function}@anchor{e89}
+@deffn {Attribute} Frequency_Function = 172
+@end deffn
+
+@geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined PNone}@anchor{e8a}
+@deffn {Attribute} PNone = 173
+@end deffn
+
+@geindex Foreign_Untruncated_Text_Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Untruncated_Text_Read}@anchor{e8b}
+@deffn {Attribute} Foreign_Untruncated_Text_Read = 174
+@end deffn
+
+@geindex Foreign_Textio_Read_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Read_Real}@anchor{e8c}
+@deffn {Attribute} Foreign_Textio_Read_Real = 175
+@end deffn
+
+@geindex Foreign_Textio_Write_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Write_Real}@anchor{e8d}
+@deffn {Attribute} Foreign_Textio_Write_Real = 176
+@end deffn
+
+@geindex Ieee_1164_Scalar_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_And}@anchor{e8e}
+@deffn {Attribute} Ieee_1164_Scalar_And = 177
+@end deffn
+
+@geindex Ieee_1164_Scalar_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nand}@anchor{e8f}
+@deffn {Attribute} Ieee_1164_Scalar_Nand = 178
+@end deffn
+
+@geindex Ieee_1164_Scalar_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Or}@anchor{e90}
+@deffn {Attribute} Ieee_1164_Scalar_Or = 179
+@end deffn
+
+@geindex Ieee_1164_Scalar_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nor}@anchor{e91}
+@deffn {Attribute} Ieee_1164_Scalar_Nor = 180
+@end deffn
+
+@geindex Ieee_1164_Scalar_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xor}@anchor{e92}
+@deffn {Attribute} Ieee_1164_Scalar_Xor = 181
+@end deffn
+
+@geindex Ieee_1164_Scalar_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xnor}@anchor{e93}
+@deffn {Attribute} Ieee_1164_Scalar_Xnor = 182
+@end deffn
+
+@geindex Ieee_1164_Scalar_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Not}@anchor{e94}
+@deffn {Attribute} Ieee_1164_Scalar_Not = 183
+@end deffn
+
+@geindex Ieee_1164_Vector_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_And}@anchor{e95}
+@deffn {Attribute} Ieee_1164_Vector_And = 184
+@end deffn
+
+@geindex Ieee_1164_Vector_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nand}@anchor{e96}
+@deffn {Attribute} Ieee_1164_Vector_Nand = 185
+@end deffn
+
+@geindex Ieee_1164_Vector_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Or}@anchor{e97}
+@deffn {Attribute} Ieee_1164_Vector_Or = 186
+@end deffn
+
+@geindex Ieee_1164_Vector_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nor}@anchor{e98}
+@deffn {Attribute} Ieee_1164_Vector_Nor = 187
+@end deffn
+
+@geindex Ieee_1164_Vector_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xor}@anchor{e99}
+@deffn {Attribute} Ieee_1164_Vector_Xor = 188
+@end deffn
+
+@geindex Ieee_1164_Vector_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xnor}@anchor{e9a}
+@deffn {Attribute} Ieee_1164_Vector_Xnor = 189
+@end deffn
+
+@geindex Ieee_1164_Vector_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Not}@anchor{e9b}
+@deffn {Attribute} Ieee_1164_Vector_Not = 190
+@end deffn
+
+@geindex Ieee_1164_To_Bit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bit}@anchor{e9c}
+@deffn {Attribute} Ieee_1164_To_Bit = 191
+@end deffn
+
+@geindex Ieee_1164_To_Bitvector (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bitvector}@anchor{e9d}
+@deffn {Attribute} Ieee_1164_To_Bitvector = 192
+@end deffn
+
+@geindex Ieee_1164_To_Stdulogic (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogic}@anchor{e9e}
+@deffn {Attribute} Ieee_1164_To_Stdulogic = 193
+@end deffn
+
+@geindex Ieee_1164_To_Stdlogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Bv}@anchor{e9f}
+@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Bv = 194
+@end deffn
+
+@geindex Ieee_1164_To_Stdlogicvector_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Suv}@anchor{ea0}
+@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Suv = 195
+@end deffn
+
+@geindex Ieee_1164_To_Stdulogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Bv}@anchor{ea1}
+@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Bv = 196
+@end deffn
+
+@geindex Ieee_1164_To_Stdulogicvector_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Slv}@anchor{ea2}
+@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Slv = 197
+@end deffn
+
+@geindex Ieee_1164_To_X01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Slv}@anchor{ea3}
+@deffn {Attribute} Ieee_1164_To_X01_Slv = 198
+@end deffn
+
+@geindex Ieee_1164_To_X01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Suv}@anchor{ea4}
+@deffn {Attribute} Ieee_1164_To_X01_Suv = 199
+@end deffn
+
+@geindex Ieee_1164_To_X01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Log}@anchor{ea5}
+@deffn {Attribute} Ieee_1164_To_X01_Log = 200
+@end deffn
+
+@geindex Ieee_1164_To_X01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Slv}@anchor{ea6}
+@deffn {Attribute} Ieee_1164_To_X01_Bv_Slv = 201
+@end deffn
+
+@geindex Ieee_1164_To_X01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Suv}@anchor{ea7}
+@deffn {Attribute} Ieee_1164_To_X01_Bv_Suv = 202
+@end deffn
+
+@geindex Ieee_1164_To_X01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bit_Log}@anchor{ea8}
+@deffn {Attribute} Ieee_1164_To_X01_Bit_Log = 203
+@end deffn
+
+@geindex Ieee_1164_To_X01Z_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Slv}@anchor{ea9}
+@deffn {Attribute} Ieee_1164_To_X01Z_Slv = 204
+@end deffn
+
+@geindex Ieee_1164_To_X01Z_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Suv}@anchor{eaa}
+@deffn {Attribute} Ieee_1164_To_X01Z_Suv = 205
+@end deffn
+
+@geindex Ieee_1164_To_X01Z_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Log}@anchor{eab}
+@deffn {Attribute} Ieee_1164_To_X01Z_Log = 206
+@end deffn
+
+@geindex Ieee_1164_To_X01Z_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Slv}@anchor{eac}
+@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Slv = 207
+@end deffn
+
+@geindex Ieee_1164_To_X01Z_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Suv}@anchor{ead}
+@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Suv = 208
+@end deffn
+
+@geindex Ieee_1164_To_X01Z_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bit_Log}@anchor{eae}
+@deffn {Attribute} Ieee_1164_To_X01Z_Bit_Log = 209
+@end deffn
+
+@geindex Ieee_1164_To_UX01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Slv}@anchor{eaf}
+@deffn {Attribute} Ieee_1164_To_UX01_Slv = 210
+@end deffn
+
+@geindex Ieee_1164_To_UX01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Suv}@anchor{eb0}
+@deffn {Attribute} Ieee_1164_To_UX01_Suv = 211
+@end deffn
+
+@geindex Ieee_1164_To_UX01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Log}@anchor{eb1}
+@deffn {Attribute} Ieee_1164_To_UX01_Log = 212
+@end deffn
+
+@geindex Ieee_1164_To_UX01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Slv}@anchor{eb2}
+@deffn {Attribute} Ieee_1164_To_UX01_Bv_Slv = 213
+@end deffn
+
+@geindex Ieee_1164_To_UX01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Suv}@anchor{eb3}
+@deffn {Attribute} Ieee_1164_To_UX01_Bv_Suv = 214
+@end deffn
+
+@geindex Ieee_1164_To_UX01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bit_Log}@anchor{eb4}
+@deffn {Attribute} Ieee_1164_To_UX01_Bit_Log = 215
+@end deffn
+
+@geindex Ieee_1164_Vector_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Is_X}@anchor{eb5}
+@deffn {Attribute} Ieee_1164_Vector_Is_X = 216
+@end deffn
+
+@geindex Ieee_1164_Scalar_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Is_X}@anchor{eb6}
+@deffn {Attribute} Ieee_1164_Scalar_Is_X = 217
+@end deffn
+
+@geindex Ieee_1164_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Rising_Edge}@anchor{eb7}
+@deffn {Attribute} Ieee_1164_Rising_Edge = 218
+@end deffn
+
+@geindex Ieee_1164_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Falling_Edge}@anchor{eb8}
+@deffn {Attribute} Ieee_1164_Falling_Edge = 219
+@end deffn
+
+@geindex Ieee_1164_And_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv_Log}@anchor{eb9}
+@deffn {Attribute} Ieee_1164_And_Suv_Log = 220
+@end deffn
+
+@geindex Ieee_1164_And_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Log_Suv}@anchor{eba}
+@deffn {Attribute} Ieee_1164_And_Log_Suv = 221
+@end deffn
+
+@geindex Ieee_1164_Nand_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv_Log}@anchor{ebb}
+@deffn {Attribute} Ieee_1164_Nand_Suv_Log = 222
+@end deffn
+
+@geindex Ieee_1164_Nand_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Log_Suv}@anchor{ebc}
+@deffn {Attribute} Ieee_1164_Nand_Log_Suv = 223
+@end deffn
+
+@geindex Ieee_1164_Or_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv_Log}@anchor{ebd}
+@deffn {Attribute} Ieee_1164_Or_Suv_Log = 224
+@end deffn
+
+@geindex Ieee_1164_Or_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Log_Suv}@anchor{ebe}
+@deffn {Attribute} Ieee_1164_Or_Log_Suv = 225
+@end deffn
+
+@geindex Ieee_1164_Nor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv_Log}@anchor{ebf}
+@deffn {Attribute} Ieee_1164_Nor_Suv_Log = 226
+@end deffn
+
+@geindex Ieee_1164_Nor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Log_Suv}@anchor{ec0}
+@deffn {Attribute} Ieee_1164_Nor_Log_Suv = 227
+@end deffn
+
+@geindex Ieee_1164_Xor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv_Log}@anchor{ec1}
+@deffn {Attribute} Ieee_1164_Xor_Suv_Log = 228
+@end deffn
+
+@geindex Ieee_1164_Xor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Log_Suv}@anchor{ec2}
+@deffn {Attribute} Ieee_1164_Xor_Log_Suv = 229
+@end deffn
+
+@geindex Ieee_1164_Xnor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv_Log}@anchor{ec3}
+@deffn {Attribute} Ieee_1164_Xnor_Suv_Log = 230
+@end deffn
+
+@geindex Ieee_1164_Xnor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Log_Suv}@anchor{ec4}
+@deffn {Attribute} Ieee_1164_Xnor_Log_Suv = 231
+@end deffn
+
+@geindex Ieee_1164_And_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv}@anchor{ec5}
+@deffn {Attribute} Ieee_1164_And_Suv = 232
+@end deffn
+
+@geindex Ieee_1164_Nand_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv}@anchor{ec6}
+@deffn {Attribute} Ieee_1164_Nand_Suv = 233
+@end deffn
+
+@geindex Ieee_1164_Or_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv}@anchor{ec7}
+@deffn {Attribute} Ieee_1164_Or_Suv = 234
+@end deffn
+
+@geindex Ieee_1164_Nor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv}@anchor{ec8}
+@deffn {Attribute} Ieee_1164_Nor_Suv = 235
+@end deffn
+
+@geindex Ieee_1164_Xor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv}@anchor{ec9}
+@deffn {Attribute} Ieee_1164_Xor_Suv = 236
+@end deffn
+
+@geindex Ieee_1164_Xnor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv}@anchor{eca}
+@deffn {Attribute} Ieee_1164_Xnor_Suv = 237
+@end deffn
+
+@geindex Ieee_1164_Vector_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Sll}@anchor{ecb}
+@deffn {Attribute} Ieee_1164_Vector_Sll = 238
+@end deffn
+
+@geindex Ieee_1164_Vector_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Srl}@anchor{ecc}
+@deffn {Attribute} Ieee_1164_Vector_Srl = 239
+@end deffn
+
+@geindex Ieee_1164_Vector_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Rol}@anchor{ecd}
+@deffn {Attribute} Ieee_1164_Vector_Rol = 240
+@end deffn
+
+@geindex Ieee_1164_Vector_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Ror}@anchor{ece}
+@deffn {Attribute} Ieee_1164_Vector_Ror = 241
+@end deffn
+
+@geindex Ieee_1164_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Condition_Operator}@anchor{ecf}
+@deffn {Attribute} Ieee_1164_Condition_Operator = 242
+@end deffn
+
+@geindex Ieee_Numeric_Std_Toint_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Uns_Nat}@anchor{ed0}
+@deffn {Attribute} Ieee_Numeric_Std_Toint_Uns_Nat = 243
+@end deffn
+
+@geindex Ieee_Numeric_Std_Toint_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Sgn_Int}@anchor{ed1}
+@deffn {Attribute} Ieee_Numeric_Std_Toint_Sgn_Int = 244
+@end deffn
+
+@geindex Ieee_Numeric_Std_Touns_Nat_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Nat_Uns}@anchor{ed2}
+@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Nat_Uns = 245
+@end deffn
+
+@geindex Ieee_Numeric_Std_Touns_Nat_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Uns_Uns}@anchor{ed3}
+@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Uns_Uns = 246
+@end deffn
+
+@geindex Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn}@anchor{ed4}
+@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn = 247
+@end deffn
+
+@geindex Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn}@anchor{ed5}
+@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn = 248
+@end deffn
+
+@geindex Ieee_Numeric_Std_Resize_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Nat}@anchor{ed6}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Nat = 249
+@end deffn
+
+@geindex Ieee_Numeric_Std_Resize_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Nat}@anchor{ed7}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Nat = 250
+@end deffn
+
+@geindex Ieee_Numeric_Std_Resize_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Uns}@anchor{ed8}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Uns = 251
+@end deffn
+
+@geindex Ieee_Numeric_Std_Resize_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Sgn}@anchor{ed9}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Sgn = 252
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Uns}@anchor{eda}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Uns = 253
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Nat}@anchor{edb}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Nat = 254
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Nat_Uns}@anchor{edc}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Nat_Uns = 255
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Log}@anchor{edd}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Log = 256
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Uns}@anchor{ede}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Uns = 257
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Sgn}@anchor{edf}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Sgn = 258
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Int}@anchor{ee0}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Int = 259
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Int_Sgn}@anchor{ee1}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Int_Sgn = 260
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Log}@anchor{ee2}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Log = 261
+@end deffn
+
+@geindex Ieee_Numeric_Std_Add_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Sgn}@anchor{ee3}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Sgn = 262
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Uns}@anchor{ee4}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Uns = 263
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Nat}@anchor{ee5}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Nat = 264
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Nat_Uns}@anchor{ee6}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Nat_Uns = 265
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Log}@anchor{ee7}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Log = 266
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Uns}@anchor{ee8}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Uns = 267
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Sgn}@anchor{ee9}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Sgn = 268
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Int}@anchor{eea}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Int = 269
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Int_Sgn}@anchor{eeb}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Int_Sgn = 270
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Log}@anchor{eec}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Log = 271
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sub_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Sgn}@anchor{eed}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Sgn = 272
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mul_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Uns}@anchor{eee}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Uns = 273
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mul_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Nat}@anchor{eef}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Nat = 274
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mul_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Nat_Uns}@anchor{ef0}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Nat_Uns = 275
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mul_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Sgn}@anchor{ef1}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Sgn = 276
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mul_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Int}@anchor{ef2}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Int = 277
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mul_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Int_Sgn}@anchor{ef3}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Int_Sgn = 278
+@end deffn
+
+@geindex Ieee_Numeric_Std_Div_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Uns}@anchor{ef4}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Uns = 279
+@end deffn
+
+@geindex Ieee_Numeric_Std_Div_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Nat}@anchor{ef5}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Nat = 280
+@end deffn
+
+@geindex Ieee_Numeric_Std_Div_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Nat_Uns}@anchor{ef6}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Nat_Uns = 281
+@end deffn
+
+@geindex Ieee_Numeric_Std_Div_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Sgn}@anchor{ef7}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Sgn = 282
+@end deffn
+
+@geindex Ieee_Numeric_Std_Div_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Int}@anchor{ef8}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Int = 283
+@end deffn
+
+@geindex Ieee_Numeric_Std_Div_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Int_Sgn}@anchor{ef9}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Int_Sgn = 284
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rem_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Uns}@anchor{efa}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Uns = 285
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rem_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Nat}@anchor{efb}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Nat = 286
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rem_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Nat_Uns}@anchor{efc}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Nat_Uns = 287
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rem_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Sgn}@anchor{efd}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Sgn = 288
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rem_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Int}@anchor{efe}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Int = 289
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rem_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Int_Sgn}@anchor{eff}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Int_Sgn = 290
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mod_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Uns}@anchor{f00}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Uns = 291
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mod_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Nat}@anchor{f01}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Nat = 292
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mod_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Nat_Uns}@anchor{f02}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Nat_Uns = 293
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mod_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Sgn}@anchor{f03}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Sgn = 294
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mod_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Int}@anchor{f04}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Int = 295
+@end deffn
+
+@geindex Ieee_Numeric_Std_Mod_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Int_Sgn}@anchor{f05}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Int_Sgn = 296
+@end deffn
+
+@geindex Ieee_Numeric_Std_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Uns}@anchor{f06}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Uns = 297
+@end deffn
+
+@geindex Ieee_Numeric_Std_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Nat}@anchor{f07}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Nat = 298
+@end deffn
+
+@geindex Ieee_Numeric_Std_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Nat_Uns}@anchor{f08}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Nat_Uns = 299
+@end deffn
+
+@geindex Ieee_Numeric_Std_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Sgn}@anchor{f09}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Sgn = 300
+@end deffn
+
+@geindex Ieee_Numeric_Std_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Int}@anchor{f0a}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Int = 301
+@end deffn
+
+@geindex Ieee_Numeric_Std_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Int_Sgn}@anchor{f0b}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Int_Sgn = 302
+@end deffn
+
+@geindex Ieee_Numeric_Std_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Uns}@anchor{f0c}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Uns = 303
+@end deffn
+
+@geindex Ieee_Numeric_Std_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Nat}@anchor{f0d}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Nat = 304
+@end deffn
+
+@geindex Ieee_Numeric_Std_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Nat_Uns}@anchor{f0e}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Nat_Uns = 305
+@end deffn
+
+@geindex Ieee_Numeric_Std_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Sgn}@anchor{f0f}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Sgn = 306
+@end deffn
+
+@geindex Ieee_Numeric_Std_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Int}@anchor{f10}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Int = 307
+@end deffn
+
+@geindex Ieee_Numeric_Std_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Int_Sgn}@anchor{f11}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Int_Sgn = 308
+@end deffn
+
+@geindex Ieee_Numeric_Std_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Uns}@anchor{f12}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Uns = 309
+@end deffn
+
+@geindex Ieee_Numeric_Std_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Nat}@anchor{f13}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Nat = 310
+@end deffn
+
+@geindex Ieee_Numeric_Std_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Nat_Uns}@anchor{f14}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Nat_Uns = 311
+@end deffn
+
+@geindex Ieee_Numeric_Std_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Sgn}@anchor{f15}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Sgn = 312
+@end deffn
+
+@geindex Ieee_Numeric_Std_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Int}@anchor{f16}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Int = 313
+@end deffn
+
+@geindex Ieee_Numeric_Std_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Int_Sgn}@anchor{f17}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Int_Sgn = 314
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Uns}@anchor{f18}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Uns = 315
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Nat}@anchor{f19}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Nat = 316
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Nat_Uns}@anchor{f1a}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Nat_Uns = 317
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Sgn}@anchor{f1b}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Sgn = 318
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Int}@anchor{f1c}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Int = 319
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Int_Sgn}@anchor{f1d}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Int_Sgn = 320
+@end deffn
+
+@geindex Ieee_Numeric_Std_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Uns}@anchor{f1e}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Uns = 321
+@end deffn
+
+@geindex Ieee_Numeric_Std_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Nat}@anchor{f1f}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Nat = 322
+@end deffn
+
+@geindex Ieee_Numeric_Std_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Nat_Uns}@anchor{f20}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Nat_Uns = 323
+@end deffn
+
+@geindex Ieee_Numeric_Std_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Sgn}@anchor{f21}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Sgn = 324
+@end deffn
+
+@geindex Ieee_Numeric_Std_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Int}@anchor{f22}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Int = 325
+@end deffn
+
+@geindex Ieee_Numeric_Std_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Int_Sgn}@anchor{f23}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Int_Sgn = 326
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Uns}@anchor{f24}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Uns = 327
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Nat}@anchor{f25}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Nat = 328
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Nat_Uns}@anchor{f26}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Nat_Uns = 329
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Sgn}@anchor{f27}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Sgn = 330
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Int}@anchor{f28}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Int = 331
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Int_Sgn}@anchor{f29}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Int_Sgn = 332
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Uns}@anchor{f2a}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Uns = 333
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Nat}@anchor{f2b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Nat = 334
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Nat_Uns}@anchor{f2c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Nat_Uns = 335
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Sgn}@anchor{f2d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Sgn = 336
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Int}@anchor{f2e}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Int = 337
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Int_Sgn}@anchor{f2f}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Int_Sgn = 338
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Uns}@anchor{f30}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Uns = 339
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Nat}@anchor{f31}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Nat = 340
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Nat_Uns}@anchor{f32}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Nat_Uns = 341
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Sgn}@anchor{f33}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Sgn = 342
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Int}@anchor{f34}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Int = 343
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Int_Sgn}@anchor{f35}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Int_Sgn = 344
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Uns}@anchor{f36}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Uns = 345
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Nat}@anchor{f37}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Nat = 346
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Nat_Uns}@anchor{f38}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Nat_Uns = 347
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Sgn}@anchor{f39}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Sgn = 348
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Int}@anchor{f3a}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Int = 349
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Int_Sgn}@anchor{f3b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Int_Sgn = 350
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Uns}@anchor{f3c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Uns = 351
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Nat}@anchor{f3d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Nat = 352
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Nat_Uns}@anchor{f3e}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Nat_Uns = 353
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Sgn}@anchor{f3f}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Sgn = 354
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Int}@anchor{f40}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Int = 355
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Int_Sgn}@anchor{f41}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Int_Sgn = 356
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Uns}@anchor{f42}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Uns = 357
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Nat}@anchor{f43}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Nat = 358
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Nat_Uns}@anchor{f44}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Nat_Uns = 359
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Sgn}@anchor{f45}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Sgn = 360
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Int}@anchor{f46}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Int = 361
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Int_Sgn}@anchor{f47}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Int_Sgn = 362
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Uns}@anchor{f48}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Uns = 363
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Nat}@anchor{f49}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Nat = 364
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Nat_Uns}@anchor{f4a}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Nat_Uns = 365
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Sgn}@anchor{f4b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Sgn = 366
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Int}@anchor{f4c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Int = 367
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Int_Sgn}@anchor{f4d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Int_Sgn = 368
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sll_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Uns_Int}@anchor{f4e}
+@deffn {Attribute} Ieee_Numeric_Std_Sll_Uns_Int = 369
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sll_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Sgn_Int}@anchor{f4f}
+@deffn {Attribute} Ieee_Numeric_Std_Sll_Sgn_Int = 370
+@end deffn
+
+@geindex Ieee_Numeric_Std_Srl_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Uns_Int}@anchor{f50}
+@deffn {Attribute} Ieee_Numeric_Std_Srl_Uns_Int = 371
+@end deffn
+
+@geindex Ieee_Numeric_Std_Srl_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Sgn_Int}@anchor{f51}
+@deffn {Attribute} Ieee_Numeric_Std_Srl_Sgn_Int = 372
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sla_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Uns_Int}@anchor{f52}
+@deffn {Attribute} Ieee_Numeric_Std_Sla_Uns_Int = 373
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sla_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Sgn_Int}@anchor{f53}
+@deffn {Attribute} Ieee_Numeric_Std_Sla_Sgn_Int = 374
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sra_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Uns_Int}@anchor{f54}
+@deffn {Attribute} Ieee_Numeric_Std_Sra_Uns_Int = 375
+@end deffn
+
+@geindex Ieee_Numeric_Std_Sra_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Sgn_Int}@anchor{f55}
+@deffn {Attribute} Ieee_Numeric_Std_Sra_Sgn_Int = 376
+@end deffn
+
+@geindex Ieee_Numeric_Std_And_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns_Uns}@anchor{f56}
+@deffn {Attribute} Ieee_Numeric_Std_And_Uns_Uns = 377
+@end deffn
+
+@geindex Ieee_Numeric_Std_And_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn_Sgn}@anchor{f57}
+@deffn {Attribute} Ieee_Numeric_Std_And_Sgn_Sgn = 378
+@end deffn
+
+@geindex Ieee_Numeric_Std_Or_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns_Uns}@anchor{f58}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Uns_Uns = 379
+@end deffn
+
+@geindex Ieee_Numeric_Std_Or_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn_Sgn}@anchor{f59}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn_Sgn = 380
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nand_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns_Uns}@anchor{f5a}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns_Uns = 381
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nand_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn_Sgn}@anchor{f5b}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn_Sgn = 382
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns_Uns}@anchor{f5c}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns_Uns = 383
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn_Sgn}@anchor{f5d}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn_Sgn = 384
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns_Uns}@anchor{f5e}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns_Uns = 385
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn_Sgn}@anchor{f5f}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn_Sgn = 386
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xnor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns_Uns}@anchor{f60}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns_Uns = 387
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xnor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn_Sgn}@anchor{f61}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn_Sgn = 388
+@end deffn
+
+@geindex Ieee_Numeric_Std_Not_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Uns}@anchor{f62}
+@deffn {Attribute} Ieee_Numeric_Std_Not_Uns = 389
+@end deffn
+
+@geindex Ieee_Numeric_Std_Not_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Sgn}@anchor{f63}
+@deffn {Attribute} Ieee_Numeric_Std_Not_Sgn = 390
+@end deffn
+
+@geindex Ieee_Numeric_Std_Abs_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Abs_Sgn}@anchor{f64}
+@deffn {Attribute} Ieee_Numeric_Std_Abs_Sgn = 391
+@end deffn
+
+@geindex Ieee_Numeric_Std_Neg_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Uns}@anchor{f65}
+@deffn {Attribute} Ieee_Numeric_Std_Neg_Uns = 392
+@end deffn
+
+@geindex Ieee_Numeric_Std_Neg_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Sgn}@anchor{f66}
+@deffn {Attribute} Ieee_Numeric_Std_Neg_Sgn = 393
+@end deffn
+
+@geindex Ieee_Numeric_Std_Min_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Uns}@anchor{f67}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Uns = 394
+@end deffn
+
+@geindex Ieee_Numeric_Std_Min_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Nat}@anchor{f68}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Nat = 395
+@end deffn
+
+@geindex Ieee_Numeric_Std_Min_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Nat_Uns}@anchor{f69}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Nat_Uns = 396
+@end deffn
+
+@geindex Ieee_Numeric_Std_Min_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Sgn}@anchor{f6a}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Sgn = 397
+@end deffn
+
+@geindex Ieee_Numeric_Std_Min_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Int}@anchor{f6b}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Int = 398
+@end deffn
+
+@geindex Ieee_Numeric_Std_Min_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Int_Sgn}@anchor{f6c}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Int_Sgn = 399
+@end deffn
+
+@geindex Ieee_Numeric_Std_Max_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Uns}@anchor{f6d}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Uns = 400
+@end deffn
+
+@geindex Ieee_Numeric_Std_Max_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Nat}@anchor{f6e}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Nat = 401
+@end deffn
+
+@geindex Ieee_Numeric_Std_Max_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Nat_Uns}@anchor{f6f}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Nat_Uns = 402
+@end deffn
+
+@geindex Ieee_Numeric_Std_Max_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Sgn}@anchor{f70}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Sgn = 403
+@end deffn
+
+@geindex Ieee_Numeric_Std_Max_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Int}@anchor{f71}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Int = 404
+@end deffn
+
+@geindex Ieee_Numeric_Std_Max_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Int_Sgn}@anchor{f72}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Int_Sgn = 405
+@end deffn
+
+@geindex Ieee_Numeric_Std_Shf_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Uns_Nat}@anchor{f73}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Uns_Nat = 406
+@end deffn
+
+@geindex Ieee_Numeric_Std_Shf_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Uns_Nat}@anchor{f74}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Uns_Nat = 407
+@end deffn
+
+@geindex Ieee_Numeric_Std_Shf_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Sgn_Nat}@anchor{f75}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Sgn_Nat = 408
+@end deffn
+
+@geindex Ieee_Numeric_Std_Shf_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Sgn_Nat}@anchor{f76}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Sgn_Nat = 409
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rot_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Uns_Nat}@anchor{f77}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Uns_Nat = 410
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rot_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Uns_Nat}@anchor{f78}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Uns_Nat = 411
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rot_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Sgn_Nat}@anchor{f79}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Sgn_Nat = 412
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rot_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Sgn_Nat}@anchor{f7a}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Sgn_Nat = 413
+@end deffn
+
+@geindex Ieee_Numeric_Std_And_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn}@anchor{f7b}
+@deffn {Attribute} Ieee_Numeric_Std_And_Sgn = 414
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nand_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn}@anchor{f7c}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn = 415
+@end deffn
+
+@geindex Ieee_Numeric_Std_Or_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn}@anchor{f7d}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn = 416
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn}@anchor{f7e}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn = 417
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn}@anchor{f7f}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn = 418
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xnor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn}@anchor{f80}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn = 419
+@end deffn
+
+@geindex Ieee_Numeric_Std_And_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns}@anchor{f81}
+@deffn {Attribute} Ieee_Numeric_Std_And_Uns = 420
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nand_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns}@anchor{f82}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns = 421
+@end deffn
+
+@geindex Ieee_Numeric_Std_Or_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns}@anchor{f83}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Uns = 422
+@end deffn
+
+@geindex Ieee_Numeric_Std_Nor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns}@anchor{f84}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns = 423
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns}@anchor{f85}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns = 424
+@end deffn
+
+@geindex Ieee_Numeric_Std_Xnor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns}@anchor{f86}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns = 425
+@end deffn
+
+@geindex Ieee_Numeric_Std_Find_Leftmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Uns}@anchor{f87}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Uns = 426
+@end deffn
+
+@geindex Ieee_Numeric_Std_Find_Rightmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Uns}@anchor{f88}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Uns = 427
+@end deffn
+
+@geindex Ieee_Numeric_Std_Find_Leftmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Sgn}@anchor{f89}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Sgn = 428
+@end deffn
+
+@geindex Ieee_Numeric_Std_Find_Rightmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Sgn}@anchor{f8a}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Sgn = 429
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Log}@anchor{f8b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Log = 430
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Uns}@anchor{f8c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Uns = 431
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Sgn}@anchor{f8d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Sgn = 432
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Slv}@anchor{f8e}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Slv = 433
+@end deffn
+
+@geindex Ieee_Numeric_Std_Match_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Suv}@anchor{f8f}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Suv = 434
+@end deffn
+
+@geindex Ieee_Numeric_Std_To_01_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Uns}@anchor{f90}
+@deffn {Attribute} Ieee_Numeric_Std_To_01_Uns = 435
+@end deffn
+
+@geindex Ieee_Numeric_Std_To_01_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Sgn}@anchor{f91}
+@deffn {Attribute} Ieee_Numeric_Std_To_01_Sgn = 436
+@end deffn
+
+@geindex Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat}@anchor{f92}
+@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat = 437
+@end deffn
+
+@geindex Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv}@anchor{f93}
+@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv = 438
+@end deffn
+
+@geindex Ieee_Math_Real_Ceil (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Ceil}@anchor{f94}
+@deffn {Attribute} Ieee_Math_Real_Ceil = 439
+@end deffn
+
+@geindex Ieee_Math_Real_Floor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Floor}@anchor{f95}
+@deffn {Attribute} Ieee_Math_Real_Floor = 440
+@end deffn
+
+@geindex Ieee_Math_Real_Round (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Round}@anchor{f96}
+@deffn {Attribute} Ieee_Math_Real_Round = 441
+@end deffn
+
+@geindex Ieee_Math_Real_Log2 (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Log2}@anchor{f97}
+@deffn {Attribute} Ieee_Math_Real_Log2 = 442
+@end deffn
+
+@geindex Ieee_Math_Real_Sin (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Sin}@anchor{f98}
+@deffn {Attribute} Ieee_Math_Real_Sin = 443
+@end deffn
+
+@geindex Ieee_Math_Real_Cos (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Cos}@anchor{f99}
+@deffn {Attribute} Ieee_Math_Real_Cos = 444
+@end deffn
+
+@geindex Ieee_Math_Real_Arctan (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Arctan}@anchor{f9a}
+@deffn {Attribute} Ieee_Math_Real_Arctan = 445
+@end deffn
+
+@geindex Ieee_Math_Real_Pow (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Pow}@anchor{f9b}
+@deffn {Attribute} Ieee_Math_Real_Pow = 446
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Slv}@anchor{f9c}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Slv = 447
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Int}@anchor{f9d}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Int = 448
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Int_Slv}@anchor{f9e}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Int_Slv = 449
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Log}@anchor{f9f}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Log = 450
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Log_Slv}@anchor{fa0}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Log_Slv = 451
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Slv}@anchor{fa1}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Slv = 452
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Int}@anchor{fa2}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Int = 453
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Int_Slv}@anchor{fa3}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Int_Slv = 454
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Log}@anchor{fa4}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Log = 455
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Log_Slv}@anchor{fa5}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Log_Slv = 456
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Id_Slv}@anchor{fa6}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Id_Slv = 457
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Mul_Slv_Slv}@anchor{fa7}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Mul_Slv_Slv = 458
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Slv}@anchor{fa8}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Slv = 459
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Int}@anchor{fa9}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Int = 460
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Int_Slv}@anchor{faa}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Int_Slv = 461
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Slv}@anchor{fab}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Slv = 462
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Int}@anchor{fac}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Int = 463
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Int_Slv}@anchor{fad}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Int_Slv = 464
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Slv}@anchor{fae}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Slv = 465
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Int}@anchor{faf}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Int = 466
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Int_Slv}@anchor{fb0}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Int_Slv = 467
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Slv}@anchor{fb1}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Slv = 468
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Int}@anchor{fb2}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Int = 469
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Int_Slv}@anchor{fb3}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Int_Slv = 470
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Slv}@anchor{fb4}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Slv = 471
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Int}@anchor{fb5}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Int = 472
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Int_Slv}@anchor{fb6}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Int_Slv = 473
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Slv}@anchor{fb7}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Slv = 474
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Int}@anchor{fb8}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Int = 475
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Int_Slv}@anchor{fb9}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Int_Slv = 476
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Conv_Integer}@anchor{fba}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Conv_Integer = 477
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shl}@anchor{fbb}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shl = 478
+@end deffn
+
+@geindex Ieee_Std_Logic_Unsigned_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shr}@anchor{fbc}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shr = 479
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Slv}@anchor{fbd}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Slv = 480
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Int}@anchor{fbe}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Int = 481
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Int_Slv}@anchor{fbf}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Int_Slv = 482
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Log}@anchor{fc0}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Log = 483
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Log_Slv}@anchor{fc1}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Log_Slv = 484
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Slv}@anchor{fc2}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Slv = 485
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Int}@anchor{fc3}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Int = 486
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Int_Slv}@anchor{fc4}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Int_Slv = 487
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Log}@anchor{fc5}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Log = 488
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Log_Slv}@anchor{fc6}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Log_Slv = 489
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Id_Slv}@anchor{fc7}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Id_Slv = 490
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Neg_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Neg_Slv}@anchor{fc8}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Neg_Slv = 491
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Abs_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Abs_Slv}@anchor{fc9}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Abs_Slv = 492
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Mul_Slv_Slv}@anchor{fca}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Mul_Slv_Slv = 493
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Slv}@anchor{fcb}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Slv = 494
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Int}@anchor{fcc}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Int = 495
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Int_Slv}@anchor{fcd}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Int_Slv = 496
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Slv}@anchor{fce}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Slv = 497
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Int}@anchor{fcf}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Int = 498
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Int_Slv}@anchor{fd0}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Int_Slv = 499
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Slv}@anchor{fd1}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Slv = 500
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Int}@anchor{fd2}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Int = 501
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Int_Slv}@anchor{fd3}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Int_Slv = 502
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Slv}@anchor{fd4}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Slv = 503
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Int}@anchor{fd5}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Int = 504
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Int_Slv}@anchor{fd6}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Int_Slv = 505
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Slv}@anchor{fd7}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Slv = 506
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Int}@anchor{fd8}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Int = 507
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Int_Slv}@anchor{fd9}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Int_Slv = 508
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Slv}@anchor{fda}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Slv = 509
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Int}@anchor{fdb}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Int = 510
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Int_Slv}@anchor{fdc}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Int_Slv = 511
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Conv_Integer}@anchor{fdd}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Conv_Integer = 512
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shl}@anchor{fde}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Shl = 513
+@end deffn
+
+@geindex Ieee_Std_Logic_Signed_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shr}@anchor{fdf}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Shr = 514
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Int}@anchor{fe0}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Int = 515
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Uns}@anchor{fe1}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Uns = 516
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn}@anchor{fe2}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn = 517
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Log}@anchor{fe3}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Log = 518
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Integer_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Int}@anchor{fe4}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Int = 519
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Integer_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Uns}@anchor{fe5}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Uns = 520
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Integer_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Sgn}@anchor{fe6}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Sgn = 521
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Integer_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Log}@anchor{fe7}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Log = 522
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Vector_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Int}@anchor{fe8}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Int = 523
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Vector_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Uns}@anchor{fe9}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Uns = 524
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Vector_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Sgn}@anchor{fea}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Sgn = 525
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Conv_Vector_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Log}@anchor{feb}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Log = 526
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ext (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ext}@anchor{fec}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ext = 527
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sxt (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sxt}@anchor{fed}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sxt = 528
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Id_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Uns}@anchor{fee}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Uns = 529
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Id_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Sgn}@anchor{fef}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Sgn = 530
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Neg_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Sgn}@anchor{ff0}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Sgn = 531
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Abs_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Sgn}@anchor{ff1}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Sgn = 532
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Shl_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Uns}@anchor{ff2}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Uns = 533
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Shl_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Sgn}@anchor{ff3}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Sgn = 534
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Shr_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Uns}@anchor{ff4}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Uns = 535
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Shr_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Sgn}@anchor{ff5}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Sgn = 536
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Id_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Slv}@anchor{ff6}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Slv = 537
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Id_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Slv}@anchor{ff7}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Slv = 538
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Neg_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Slv}@anchor{ff8}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Slv = 539
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Abs_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Slv}@anchor{ff9}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Slv = 540
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns}@anchor{ffa}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns = 541
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn}@anchor{ffb}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn = 542
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn}@anchor{ffc}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn = 543
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn}@anchor{ffd}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn = 544
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv}@anchor{ffe}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv = 545
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv}@anchor{fff}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv = 546
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv}@anchor{1000}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv = 547
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv}@anchor{1001}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv = 548
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns}@anchor{1002}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns = 549
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn}@anchor{1003}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn = 550
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn}@anchor{1004}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn = 551
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn}@anchor{1005}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn = 552
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Uns}@anchor{1006}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Uns = 553
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Uns}@anchor{1007}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Uns = 554
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn}@anchor{1008}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn = 555
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn}@anchor{1009}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn = 556
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Uns}@anchor{100a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Uns = 557
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Uns}@anchor{100b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Uns = 558
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn}@anchor{100c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn = 559
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn}@anchor{100d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn = 560
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv}@anchor{100e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv = 561
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv}@anchor{100f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv = 562
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv}@anchor{1010}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv = 563
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv}@anchor{1011}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv = 564
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Slv}@anchor{1012}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Slv = 565
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Slv}@anchor{1013}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Slv = 566
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv}@anchor{1014}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv = 567
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv}@anchor{1015}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv = 568
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Slv}@anchor{1016}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Slv = 569
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Slv}@anchor{1017}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Slv = 570
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv}@anchor{1018}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv = 571
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv}@anchor{1019}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv = 572
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns}@anchor{101a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns = 573
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn}@anchor{101b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn = 574
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn}@anchor{101c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn = 575
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn}@anchor{101d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn = 576
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns}@anchor{101e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns = 577
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns}@anchor{101f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns = 578
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn}@anchor{1020}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn = 579
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn}@anchor{1021}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn = 580
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns}@anchor{1022}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns = 581
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns}@anchor{1023}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns = 582
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn}@anchor{1024}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn = 583
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn}@anchor{1025}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn = 584
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv}@anchor{1026}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv = 585
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv}@anchor{1027}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv = 586
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv}@anchor{1028}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv = 587
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv}@anchor{1029}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv = 588
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv}@anchor{102a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv = 589
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv}@anchor{102b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv = 590
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv}@anchor{102c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv = 591
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv}@anchor{102d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv = 592
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv}@anchor{102e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv = 593
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv}@anchor{102f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv = 594
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv}@anchor{1030}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv = 595
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv}@anchor{1031}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv = 596
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Uns}@anchor{1032}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Uns = 597
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Sgn}@anchor{1033}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Sgn = 598
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Sgn}@anchor{1034}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Sgn = 599
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Uns}@anchor{1035}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Uns = 600
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Int}@anchor{1036}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Int = 601
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Uns}@anchor{1037}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Uns = 602
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Int}@anchor{1038}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Int = 603
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Sgn}@anchor{1039}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Sgn = 604
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Uns}@anchor{103a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Uns = 605
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Sgn}@anchor{103b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Sgn = 606
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Sgn}@anchor{103c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Sgn = 607
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Uns}@anchor{103d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Uns = 608
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Int}@anchor{103e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Int = 609
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Uns}@anchor{103f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Uns = 610
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Int}@anchor{1040}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Int = 611
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Sgn}@anchor{1041}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Sgn = 612
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Uns}@anchor{1042}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Uns = 613
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Sgn}@anchor{1043}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Sgn = 614
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Sgn}@anchor{1044}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Sgn = 615
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Uns}@anchor{1045}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Uns = 616
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Int}@anchor{1046}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Int = 617
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Uns}@anchor{1047}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Uns = 618
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Int}@anchor{1048}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Int = 619
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Sgn}@anchor{1049}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Sgn = 620
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Uns}@anchor{104a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Uns = 621
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Sgn}@anchor{104b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Sgn = 622
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Sgn}@anchor{104c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Sgn = 623
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Uns}@anchor{104d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Uns = 624
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Int}@anchor{104e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Int = 625
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Uns}@anchor{104f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Uns = 626
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Int}@anchor{1050}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Int = 627
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Sgn}@anchor{1051}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Sgn = 628
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Uns}@anchor{1052}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Uns = 629
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Sgn}@anchor{1053}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Sgn = 630
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Sgn}@anchor{1054}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Sgn = 631
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Uns}@anchor{1055}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Uns = 632
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Int}@anchor{1056}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Int = 633
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Uns}@anchor{1057}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Uns = 634
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Int}@anchor{1058}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Int = 635
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Sgn}@anchor{1059}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Sgn = 636
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Uns}@anchor{105a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Uns = 637
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Sgn}@anchor{105b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Sgn = 638
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Sgn}@anchor{105c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Sgn = 639
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Uns}@anchor{105d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Uns = 640
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Int}@anchor{105e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Int = 641
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Uns}@anchor{105f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Uns = 642
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Int}@anchor{1060}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Int = 643
+@end deffn
+
+@geindex Ieee_Std_Logic_Arith_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Sgn}@anchor{1061}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Sgn = 644
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_And_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Slv}@anchor{1062}
+@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Slv = 645
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_And_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Suv}@anchor{1063}
+@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Suv = 646
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Nand_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Slv}@anchor{1064}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Slv = 647
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Nand_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Suv}@anchor{1065}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Suv = 648
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Or_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Slv}@anchor{1066}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Slv = 649
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Or_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Suv}@anchor{1067}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Suv = 650
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Nor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Slv}@anchor{1068}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Slv = 651
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Nor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Suv}@anchor{1069}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Suv = 652
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Xor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Slv}@anchor{106a}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Slv = 653
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Xor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Suv}@anchor{106b}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Suv = 654
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Slv}@anchor{106c}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Slv = 655
+@end deffn
+
+@geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Suv}@anchor{106d}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Suv = 656
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Get_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Kind}@anchor{956}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Kind (node)
+
+
+Get node kind.
+
+@*Return type:
+~IirKind
+
+@end deffn
+
+@geindex Get_Location() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Location}@anchor{957}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Location (node)
+
+
+@*Return type:
+~LocationType
+
+@end deffn
+
+@geindex Get_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_First_Design_Unit}@anchor{958}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_First_Design_Unit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_First_Design_Unit}@anchor{959}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_First_Design_Unit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Last_Design_Unit}@anchor{95a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Last_Design_Unit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Last_Design_Unit}@anchor{95b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Last_Design_Unit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Declaration}@anchor{95c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Declaration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Declaration}@anchor{95d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Checksum}@anchor{95e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Checksum (obj)
+
+
+@*Return type:
+~FileChecksumId
+
+@end deffn
+
+@geindex Set_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Checksum}@anchor{95f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Checksum (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Time_Stamp}@anchor{960}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Time_Stamp (obj)
+
+
+@*Return type:
+~TimeStampId
+
+@end deffn
+
+@geindex Set_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Time_Stamp}@anchor{961}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Time_Stamp (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Source}@anchor{962}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Source (obj)
+
+
+@*Return type:
+~SourceFileEntry
+
+@end deffn
+
+@geindex Set_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Source}@anchor{963}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Source (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Library() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library}@anchor{964}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Library() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library}@anchor{965}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Dependence_List}@anchor{966}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Dependence_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Dependence_List}@anchor{967}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Dependence_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Filename}@anchor{968}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Filename (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Filename}@anchor{969}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Filename (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Directory}@anchor{96a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Directory (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Directory}@anchor{96b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Directory (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File}@anchor{96c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File}@anchor{96d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Chain}@anchor{96e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Chain}@anchor{96f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Directory}@anchor{970}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Directory (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Directory}@anchor{971}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Directory (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Date() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date}@anchor{972}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date (obj)
+
+
+@*Return type:
+~DateType
+
+@end deffn
+
+@geindex Set_Date() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date}@anchor{973}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Items}@anchor{974}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Items (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Items}@anchor{975}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Items (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Dependence_List}@anchor{976}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Dependence_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Dependence_List}@anchor{977}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Dependence_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Checks_List}@anchor{978}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Checks_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Checks_List}@anchor{979}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Checks_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date_State}@anchor{97a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date_State (obj)
+
+
+@*Return type:
+@ref{953,,DateStateType}
+
+@end deffn
+
+@geindex Set_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date_State}@anchor{97b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date_State (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Target_State}@anchor{97c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Target_State (obj)
+
+
+@*Return type:
+@ref{53f,,TriStateType}
+
+@end deffn
+
+@geindex Set_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Target_State}@anchor{97d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Target_State (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Unit}@anchor{97e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Unit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Unit}@anchor{97f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Unit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hash_Chain}@anchor{980}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hash_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hash_Chain}@anchor{981}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hash_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Pos}@anchor{982}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Pos (obj)
+
+
+@*Return type:
+~SourcePtr
+
+@end deffn
+
+@geindex Set_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Pos}@anchor{983}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Pos (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Line}@anchor{984}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Line (obj)
+
+
+@*Return type:
+~Int32
+
+@end deffn
+
+@geindex Set_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Line}@anchor{985}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Line (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Col}@anchor{986}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Col (obj)
+
+
+@*Return type:
+~Int32
+
+@end deffn
+
+@geindex Set_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Col}@anchor{987}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Col (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value}@anchor{988}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value (obj)
+
+
+@*Return type:
+~Int64
+
+@end deffn
+
+@geindex Set_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value}@anchor{989}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enum_Pos}@anchor{98a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enum_Pos (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enum_Pos}@anchor{98b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enum_Pos (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Physical_Literal}@anchor{98c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Physical_Literal (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Physical_Literal}@anchor{98d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Physical_Literal (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Fp_Value}@anchor{98e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Fp_Value (obj)
+
+
+@*Return type:
+~Fp64
+
+@end deffn
+
+@geindex Set_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Fp_Value}@anchor{98f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Fp_Value (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Aggregate_List}@anchor{990}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Aggregate_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Aggregate_List}@anchor{991}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Aggregate_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String8_Id}@anchor{992}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String8_Id (obj)
+
+
+@*Return type:
+~String8Id
+
+@end deffn
+
+@geindex Set_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String8_Id}@anchor{993}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String8_Id (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String_Length}@anchor{994}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String_Length (obj)
+
+
+@*Return type:
+~Int32
+
+@end deffn
+
+@geindex Set_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String_Length}@anchor{995}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String_Length (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bit_String_Base}@anchor{996}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bit_String_Base (obj)
+
+
+@*Return type:
+@ref{954,,NumberBaseType}
+
+@end deffn
+
+@geindex Set_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bit_String_Base}@anchor{997}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bit_String_Base (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signed}@anchor{998}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signed (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signed}@anchor{999}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signed (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Sign}@anchor{99a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Sign (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Sign}@anchor{99b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Sign (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Length}@anchor{99c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Length (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Length}@anchor{99d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Length (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Length}@anchor{99e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Length (obj)
+
+
+@*Return type:
+~Int32
+
+@end deffn
+
+@geindex Set_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Length}@anchor{99f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Length (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Origin}@anchor{9a0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Origin (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Origin}@anchor{9a1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Origin (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Origin}@anchor{9a2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Origin (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Origin}@anchor{9a3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Origin (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Subtype}@anchor{9a4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Subtype}@anchor{9a5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Subtype}@anchor{9a6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Subtype}@anchor{9a7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class}@anchor{9a8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class (obj)
+
+
+@*Return type:
+@ref{106e,,Tok}
+
+@end deffn
+
+@geindex Set_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class}@anchor{9a9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name_List}@anchor{9aa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name_List}@anchor{9ab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Designator}@anchor{9ac}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Designator (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Designator}@anchor{9ad}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Designator (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification_Chain}@anchor{9ae}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification_Chain}@anchor{9af}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification}@anchor{9b0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification}@anchor{9b1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Static_Attribute_Flag}@anchor{9b2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Static_Attribute_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Static_Attribute_Flag}@anchor{9b3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Static_Attribute_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_List}@anchor{9b4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_List}@anchor{9b5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Quantity_List}@anchor{9b6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Quantity_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Quantity_List}@anchor{9b7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Quantity_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Entity}@anchor{9b8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Entity (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Entity}@anchor{9b9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Entity (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal}@anchor{9ba}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal}@anchor{9bb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual}@anchor{9bc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual}@anchor{9bd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Conversion}@anchor{9be}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Conversion (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Conversion}@anchor{9bf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Conversion (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal_Conversion}@anchor{9c0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal_Conversion (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal_Conversion}@anchor{9c1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal_Conversion (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Whole_Association_Flag}@anchor{9c2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Whole_Association_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Whole_Association_Flag}@anchor{9c3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Whole_Association_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Collapse_Signal_Flag}@anchor{9c4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Collapse_Signal_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Collapse_Signal_Flag}@anchor{9c5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Collapse_Signal_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Artificial_Flag}@anchor{9c6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Artificial_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Artificial_Flag}@anchor{9c7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Artificial_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Flag}@anchor{9c8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Open_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Flag}@anchor{9c9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Open_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_After_Drivers_Flag}@anchor{9ca}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_After_Drivers_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_After_Drivers_Flag}@anchor{9cb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_After_Drivers_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_We_Value}@anchor{9cc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_We_Value (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_We_Value}@anchor{9cd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_We_Value (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Time() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Time}@anchor{9ce}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Time (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Time() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Time}@anchor{9cf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Time (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Expr}@anchor{9d0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Expr (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Expr}@anchor{9d1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Expr (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Block}@anchor{9d2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Block (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Block}@anchor{9d3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Block (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Chain}@anchor{9d4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Chain}@anchor{9d5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Name}@anchor{9d6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Name}@anchor{9d7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Expression}@anchor{9d8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Expression}@anchor{9d9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Range}@anchor{9da}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Range (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Range}@anchor{9db}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Range (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Same_Alternative_Flag}@anchor{9dc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Same_Alternative_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Same_Alternative_Flag}@anchor{9dd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Same_Alternative_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Type_Flag}@anchor{9de}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Type_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Type_Flag}@anchor{9df}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Type_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Architecture}@anchor{9e0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Architecture (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Architecture}@anchor{9e1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Architecture (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Specification}@anchor{9e2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Specification (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Specification}@anchor{9e3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Specification (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prev_Block_Configuration}@anchor{9e4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prev_Block_Configuration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prev_Block_Configuration}@anchor{9e5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prev_Block_Configuration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Item_Chain}@anchor{9e6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Item_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Item_Chain}@anchor{9e7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Item_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Chain}@anchor{9e8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Chain}@anchor{9e9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Spec_Chain}@anchor{9ea}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Spec_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Spec_Chain}@anchor{9eb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Spec_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value_Chain}@anchor{9ec}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value_Chain}@anchor{9ed}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Spec_Chain}@anchor{9ee}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Spec_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Spec_Chain}@anchor{9ef}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Spec_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name}@anchor{9f0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name}@anchor{9f1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Package() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package}@anchor{9f2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Package() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package}@anchor{9f3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Body}@anchor{9f4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Body (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Body}@anchor{9f5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Package_Body}@anchor{9f6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Package_Body (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Package_Body}@anchor{9f7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Package_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Body}@anchor{9f8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Body (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Body}@anchor{9f9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Macro_Expanded_Flag}@anchor{9fa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Macro_Expanded_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Macro_Expanded_Flag}@anchor{9fb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Macro_Expanded_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Instance_Bodies}@anchor{9fc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Instance_Bodies (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Instance_Bodies}@anchor{9fd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Instance_Bodies (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hierarchical_Name}@anchor{9fe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hierarchical_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hierarchical_Name}@anchor{9ff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hierarchical_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inherit_Spec_Chain}@anchor{a00}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Inherit_Spec_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inherit_Spec_Chain}@anchor{a01}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Inherit_Spec_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vunit_Item_Chain}@anchor{a02}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vunit_Item_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vunit_Item_Chain}@anchor{a03}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vunit_Item_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bound_Vunit_Chain}@anchor{a04}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bound_Vunit_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bound_Vunit_Chain}@anchor{a05}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bound_Vunit_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Verification_Block_Configuration}@anchor{a06}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Verification_Block_Configuration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Verification_Block_Configuration}@anchor{a07}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Verification_Block_Configuration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Configuration}@anchor{a08}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Configuration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Configuration}@anchor{a09}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Configuration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Concurrent_Statement_Chain}@anchor{a0a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Concurrent_Statement_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Concurrent_Statement_Chain}@anchor{a0b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Concurrent_Statement_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Chain}@anchor{a0c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Chain}@anchor{a0d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Chain}@anchor{a0e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Chain}@anchor{a0f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Chain}@anchor{a10}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Chain}@anchor{a11}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type}@anchor{a12}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type}@anchor{a13}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Indication}@anchor{a14}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Indication}@anchor{a15}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Discrete_Range}@anchor{a16}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Discrete_Range (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Discrete_Range}@anchor{a17}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Discrete_Range (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Definition}@anchor{a18}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Definition}@anchor{a19}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Definition}@anchor{a1a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Definition}@anchor{a1b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Declaration}@anchor{a1c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Declaration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Declaration}@anchor{a1d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Subprograms}@anchor{a1e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Type_Subprograms (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Subprograms}@anchor{a1f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Type_Subprograms (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Definition}@anchor{a20}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Definition}@anchor{a21}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature}@anchor{a22}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature}@anchor{a23}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Indication}@anchor{a24}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Indication}@anchor{a25}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Mode}@anchor{a26}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Mode (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Mode}@anchor{a27}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Mode (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Signal_Flag}@anchor{a28}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Signal_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Signal_Flag}@anchor{a29}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Signal_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Kind}@anchor{a2a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Kind (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Kind}@anchor{a2b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Kind (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Name}@anchor{a2c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Name}@anchor{a2d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Declaration_Chain}@anchor{a2e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Declaration_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Declaration_Chain}@anchor{a2f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Declaration_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Specification}@anchor{a30}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Specification (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Specification}@anchor{a31}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Specification (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sequential_Statement_Chain}@anchor{a32}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sequential_Statement_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sequential_Statement_Chain}@anchor{a33}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sequential_Statement_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Statement_Chain}@anchor{a34}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Statement_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Statement_Chain}@anchor{a35}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Statement_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Body}@anchor{a36}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Body (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Body}@anchor{a37}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_Number}@anchor{a38}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_Number (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_Number}@anchor{a39}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_Number (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Depth}@anchor{a3a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Depth (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Depth}@anchor{a3b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Depth (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Hash}@anchor{a3c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Hash (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Hash}@anchor{a3d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Hash (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Impure_Depth}@anchor{a3e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Impure_Depth (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Impure_Depth}@anchor{a3f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Impure_Depth (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type}@anchor{a40}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type}@anchor{a41}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Definition}@anchor{a42}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Definition}@anchor{a43}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Subprogram_Name}@anchor{a44}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Subprogram_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Subprogram_Name}@anchor{a45}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Subprogram_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Value}@anchor{a46}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Value (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Value}@anchor{a47}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Value (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration}@anchor{a48}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration}@anchor{a49}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration_Flag}@anchor{a4a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration_Flag}@anchor{a4b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Shared_Flag}@anchor{a4c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Shared_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Shared_Flag}@anchor{a4d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Shared_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit}@anchor{a4e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit}@anchor{a4f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Statement}@anchor{a50}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Statement (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Statement}@anchor{a51}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Statement (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Driver}@anchor{a52}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Driver (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Driver}@anchor{a53}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Driver (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Declaration_Chain}@anchor{a54}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Declaration_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Declaration_Chain}@anchor{a55}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Declaration_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Logical_Name}@anchor{a56}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Logical_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Logical_Name}@anchor{a57}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Logical_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Open_Kind}@anchor{a58}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Open_Kind (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Open_Kind}@anchor{a59}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Open_Kind (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Position}@anchor{a5a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Position (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Position}@anchor{a5b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Position (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Clause_Chain}@anchor{a5c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Clause_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Clause_Chain}@anchor{a5d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Clause_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Reference_Chain}@anchor{a5e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Reference_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Reference_Chain}@anchor{a5f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Reference_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Name}@anchor{a60}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Name}@anchor{a61}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Declarator}@anchor{a62}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Declarator (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Declarator}@anchor{a63}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Declarator (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Complete_Type_Definition}@anchor{a64}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Complete_Type_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Complete_Type_Definition}@anchor{a65}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Complete_Type_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Ref_Chain}@anchor{a66}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Ref_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Ref_Chain}@anchor{a67}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Ref_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Type}@anchor{a68}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Type}@anchor{a69}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enumeration_Literal_List}@anchor{a6a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enumeration_Literal_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enumeration_Literal_List}@anchor{a6b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enumeration_Literal_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class_Entry_Chain}@anchor{a6c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class_Entry_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class_Entry_Chain}@anchor{a6d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class_Entry_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Constituent_List}@anchor{a6e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Constituent_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Constituent_List}@anchor{a6f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Constituent_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Chain}@anchor{a70}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Chain}@anchor{a71}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Primary_Unit}@anchor{a72}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Primary_Unit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Primary_Unit}@anchor{a73}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Primary_Unit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Identifier}@anchor{a74}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Identifier (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Identifier}@anchor{a75}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Identifier (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Label}@anchor{a76}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Label (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Label}@anchor{a77}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Label (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Visible_Flag}@anchor{a78}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Visible_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Visible_Flag}@anchor{a79}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Visible_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Constraint}@anchor{a7a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Constraint (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Constraint}@anchor{a7b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Constraint (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Direction}@anchor{a7c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Direction (obj)
+
+
+@*Return type:
+@ref{540,,DirectionType}
+
+@end deffn
+
+@geindex Set_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Direction}@anchor{a7d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Direction (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit}@anchor{a7e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit}@anchor{a7f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit}@anchor{a80}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit}@anchor{a81}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit_Expr}@anchor{a82}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit_Expr (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit_Expr}@anchor{a83}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit_Expr (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit_Expr}@anchor{a84}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit_Expr (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit_Expr}@anchor{a85}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit_Expr (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent_Type}@anchor{a86}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent_Type}@anchor{a87}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Nature}@anchor{a88}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Nature (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Nature}@anchor{a89}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Nature (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Nature}@anchor{a8a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Nature (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Nature}@anchor{a8b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Nature (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Indication}@anchor{a8c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Indication}@anchor{a8d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Record_Element_Resolution_Chain}@anchor{a8e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Record_Element_Resolution_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Record_Element_Resolution_Chain}@anchor{a8f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Record_Element_Resolution_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Tolerance}@anchor{a90}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Tolerance (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Tolerance}@anchor{a91}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Tolerance (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal_Name}@anchor{a92}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal_Name}@anchor{a93}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal_Name}@anchor{a94}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal_Name}@anchor{a95}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal}@anchor{a96}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal}@anchor{a97}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal}@anchor{a98}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal}@anchor{a99}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Magnitude_Expression}@anchor{a9a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Magnitude_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Magnitude_Expression}@anchor{a9b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Magnitude_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Phase_Expression}@anchor{a9c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Phase_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Phase_Expression}@anchor{a9d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Phase_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Power_Expression}@anchor{a9e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Power_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Power_Expression}@anchor{a9f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Power_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Left}@anchor{aa0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Left (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Left}@anchor{aa1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Left (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Right}@anchor{aa2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Right (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Right}@anchor{aa3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Right (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Text_File_Flag}@anchor{aa4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Text_File_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Text_File_Flag}@anchor{aa5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Text_File_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Only_Characters_Flag}@anchor{aa6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Only_Characters_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Only_Characters_Flag}@anchor{aa7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Only_Characters_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Character_Type}@anchor{aa8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Character_Type (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Character_Type}@anchor{aa9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Character_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Staticness}@anchor{aaa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Staticness (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Staticness}@anchor{aab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Staticness (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Staticness}@anchor{aac}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Staticness (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Staticness}@anchor{aad}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Staticness (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Constraint_State}@anchor{aae}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Constraint_State (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Constraint_State}@anchor{aaf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Constraint_State (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_List}@anchor{ab0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_List}@anchor{ab1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_Definition_List}@anchor{ab2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_Definition_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_Definition_List}@anchor{ab3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_Definition_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype_Indication}@anchor{ab4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype_Indication}@anchor{ab5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype}@anchor{ab6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype}@anchor{ab7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature_Indication}@anchor{ab8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature_Indication}@anchor{ab9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature}@anchor{aba}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature}@anchor{abb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_List}@anchor{abc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_List}@anchor{abd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Array_Element_Constraint}@anchor{abe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Array_Element_Constraint (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Array_Element_Constraint}@anchor{abf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Array_Element_Constraint (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Array_Constraint_Flag}@anchor{ac0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Array_Constraint_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Array_Constraint_Flag}@anchor{ac1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Array_Constraint_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Element_Constraint_Flag}@anchor{ac2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Element_Constraint_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Element_Constraint_Flag}@anchor{ac3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Element_Constraint_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elements_Declaration_List}@anchor{ac4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elements_Declaration_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elements_Declaration_List}@anchor{ac5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elements_Declaration_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Owned_Elements_Chain}@anchor{ac6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Owned_Elements_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Owned_Elements_Chain}@anchor{ac7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Owned_Elements_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Type}@anchor{ac8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Type}@anchor{ac9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Subtype_Indication}@anchor{aca}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Subtype_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Subtype_Indication}@anchor{acb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Subtype_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_List}@anchor{acc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_List}@anchor{acd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference}@anchor{ace}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reference (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference}@anchor{acf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reference (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Declarator}@anchor{ad0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Declarator (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Declarator}@anchor{ad1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Declarator (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Mark}@anchor{ad2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Mark}@anchor{ad3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Mark}@anchor{ad4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Mark}@anchor{ad5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Definition}@anchor{ad6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Definition}@anchor{ad7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Definition}@anchor{ad8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Definition}@anchor{ad9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type}@anchor{ada}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type}@anchor{adb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type}@anchor{adc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type}@anchor{add}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Target() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Target}@anchor{ade}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Target (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Target() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Target}@anchor{adf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Target (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Waveform_Chain}@anchor{ae0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Waveform_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Waveform_Chain}@anchor{ae1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Waveform_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard}@anchor{ae2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard}@anchor{ae3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Delay_Mechanism}@anchor{ae4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Delay_Mechanism (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Delay_Mechanism}@anchor{ae5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Delay_Mechanism (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reject_Time_Expression}@anchor{ae6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reject_Time_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reject_Time_Expression}@anchor{ae7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reject_Time_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Force_Mode}@anchor{ae8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Force_Mode (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Force_Mode}@anchor{ae9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Force_Mode (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Force_Mode}@anchor{aea}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Force_Mode (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Force_Mode}@anchor{aeb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Force_Mode (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sensitivity_List}@anchor{aec}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sensitivity_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sensitivity_List}@anchor{aed}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sensitivity_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Process_Origin}@anchor{aee}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Process_Origin (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Process_Origin}@anchor{aef}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Process_Origin (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Origin}@anchor{af0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Origin (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Origin}@anchor{af1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Origin (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition_Clause}@anchor{af2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition_Clause (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition_Clause}@anchor{af3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition_Clause (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Element}@anchor{af4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Element (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Element}@anchor{af5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Element (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selector_Quantity}@anchor{af6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selector_Quantity (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selector_Quantity}@anchor{af7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selector_Quantity (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Quantity}@anchor{af8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Quantity (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Quantity}@anchor{af9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Quantity (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Timeout_Clause}@anchor{afa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Timeout_Clause (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Timeout_Clause}@anchor{afb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Timeout_Clause (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Postponed_Flag}@anchor{afc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Postponed_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Postponed_Flag}@anchor{afd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Postponed_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Callees_List}@anchor{afe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Callees_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Callees_List}@anchor{aff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Callees_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Passive_Flag}@anchor{b00}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Passive_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Passive_Flag}@anchor{b01}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Passive_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Function_Flag}@anchor{b02}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Function_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Function_Flag}@anchor{b03}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Function_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Wait_State}@anchor{b04}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Wait_State (obj)
+
+
+@*Return type:
+@ref{53f,,TriStateType}
+
+@end deffn
+
+@geindex Set_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Wait_State}@anchor{b05}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Wait_State (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_All_Sensitized_State}@anchor{b06}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_All_Sensitized_State (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_All_Sensitized_State}@anchor{b07}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_All_Sensitized_State (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Seen_Flag}@anchor{b08}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Seen_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Seen_Flag}@anchor{b09}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Seen_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pure_Flag}@anchor{b0a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pure_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pure_Flag}@anchor{b0b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pure_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Flag}@anchor{b0c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Flag}@anchor{b0d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolved_Flag}@anchor{b0e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolved_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolved_Flag}@anchor{b0f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolved_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Type_Flag}@anchor{b10}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Type_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Type_Flag}@anchor{b11}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Type_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signal_Flag}@anchor{b12}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signal_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signal_Flag}@anchor{b13}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signal_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Purity_State}@anchor{b14}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Purity_State (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Purity_State}@anchor{b15}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Purity_State (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elab_Flag}@anchor{b16}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elab_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elab_Flag}@anchor{b17}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elab_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vendor_Library_Flag}@anchor{b18}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vendor_Library_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vendor_Library_Flag}@anchor{b19}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vendor_Library_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Mark_Flag}@anchor{b1a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Mark_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Mark_Flag}@anchor{b1b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Mark_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Done_Flag}@anchor{b1c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Done_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Done_Flag}@anchor{b1d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Done_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_Flag}@anchor{b1e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_Flag}@anchor{b1f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hide_Implicit_Flag}@anchor{b20}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hide_Implicit_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hide_Implicit_Flag}@anchor{b21}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hide_Implicit_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Assertion_Condition}@anchor{b22}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Assertion_Condition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Assertion_Condition}@anchor{b23}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Assertion_Condition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Report_Expression}@anchor{b24}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Report_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Report_Expression}@anchor{b25}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Report_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Severity_Expression}@anchor{b26}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Severity_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Severity_Expression}@anchor{b27}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Severity_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Unit}@anchor{b28}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiated_Unit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Unit}@anchor{b29}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiated_Unit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Map_Aspect_Chain}@anchor{b2a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Map_Aspect_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Map_Aspect_Chain}@anchor{b2b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Map_Aspect_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Map_Aspect_Chain}@anchor{b2c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Map_Aspect_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Map_Aspect_Chain}@anchor{b2d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Map_Aspect_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Name}@anchor{b2e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Name}@anchor{b2f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Configuration}@anchor{b30}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Configuration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Configuration}@anchor{b31}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Configuration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Specification}@anchor{b32}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Specification (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Specification}@anchor{b33}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Specification (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Binding_Indication}@anchor{b34}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Binding_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Binding_Indication}@anchor{b35}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Binding_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Configuration_Declaration}@anchor{b36}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Configuration_Declaration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Configuration_Declaration}@anchor{b37}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Configuration_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expression}@anchor{b38}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expression}@anchor{b39}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Expression_Chain}@anchor{b3a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Expression_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Expression_Chain}@anchor{b3b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Expression_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Designated_Type}@anchor{b3c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Designated_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Designated_Type}@anchor{b3d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Designated_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Waveform_Chain}@anchor{b3e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Waveform_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Waveform_Chain}@anchor{b3f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Waveform_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Waveform_Chain}@anchor{b40}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Waveform_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Waveform_Chain}@anchor{b41}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Waveform_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Expression}@anchor{b42}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Expression}@anchor{b43}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Decl}@anchor{b44}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Decl (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Decl}@anchor{b45}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Decl (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Sensitivity_List}@anchor{b46}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Sensitivity_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Sensitivity_List}@anchor{b47}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Sensitivity_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Chain}@anchor{b48}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Chain}@anchor{b49}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Block_Configuration}@anchor{b4a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Block_Configuration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Block_Configuration}@anchor{b4b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Block_Configuration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Header}@anchor{b4c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Header (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Header}@anchor{b4d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Header (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Header}@anchor{b4e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Header (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Header}@anchor{b4f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Header (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Name}@anchor{b50}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Name}@anchor{b51}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Decl}@anchor{b52}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Decl (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Decl}@anchor{b53}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Decl (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Source_File}@anchor{b54}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Source_File (obj)
+
+
+@*Return type:
+~SourceFileEntry
+
+@end deffn
+
+@geindex Set_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Source_File}@anchor{b55}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Source_File (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Block_Configuration}@anchor{b56}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Block_Configuration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Block_Configuration}@anchor{b57}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Block_Configuration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Statement_Body}@anchor{b58}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Statement_Body (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Statement_Body}@anchor{b59}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Statement_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alternative_Label}@anchor{b5a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alternative_Label (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alternative_Label}@anchor{b5b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alternative_Label (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Else_Clause}@anchor{b5c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Else_Clause (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Else_Clause}@anchor{b5d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Else_Clause (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition}@anchor{b5e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition}@anchor{b5f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Else_Clause}@anchor{b60}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Else_Clause (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Else_Clause}@anchor{b61}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Else_Clause (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Specification}@anchor{b62}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Specification (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Specification}@anchor{b63}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Specification (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent}@anchor{b64}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent}@anchor{b65}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Loop_Label}@anchor{b66}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Loop_Label (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Loop_Label}@anchor{b67}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Loop_Label (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Exit_Flag}@anchor{b68}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Exit_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Exit_Flag}@anchor{b69}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Exit_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Next_Flag}@anchor{b6a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Next_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Next_Flag}@anchor{b6b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Next_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Name}@anchor{b6c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Name}@anchor{b6d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiation_List}@anchor{b6e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiation_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiation_List}@anchor{b6f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiation_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Aspect}@anchor{b70}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Aspect (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Aspect}@anchor{b71}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Aspect (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Entity_Aspect}@anchor{b72}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Entity_Aspect (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Entity_Aspect}@anchor{b73}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Entity_Aspect (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Binding_Indication}@anchor{b74}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Binding_Indication (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Binding_Indication}@anchor{b75}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Binding_Indication (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Named_Entity}@anchor{b76}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Named_Entity (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Named_Entity}@anchor{b77}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Named_Entity (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Referenced_Name}@anchor{b78}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Referenced_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Referenced_Name}@anchor{b79}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Referenced_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expr_Staticness}@anchor{b7a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expr_Staticness (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expr_Staticness}@anchor{b7b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expr_Staticness (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Scalar_Size}@anchor{b7c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Scalar_Size (obj)
+
+
+@*Return type:
+@ref{94f,,ScalarSize}
+
+@end deffn
+
+@geindex Set_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Scalar_Size}@anchor{b7d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Scalar_Size (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Error_Origin}@anchor{b7e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Error_Origin (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Error_Origin}@anchor{b7f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Error_Origin (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Operand}@anchor{b80}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Operand (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Operand}@anchor{b81}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Operand (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Left() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left}@anchor{b82}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Left() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left}@anchor{b83}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Right() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right}@anchor{b84}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Right() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right}@anchor{b85}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Name}@anchor{b86}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Name}@anchor{b87}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name}@anchor{b88}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name}@anchor{b89}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Template_Name}@anchor{b8a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Template_Name (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Template_Name}@anchor{b8b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Template_Name (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name_Staticness}@anchor{b8c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name_Staticness (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name_Staticness}@anchor{b8d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name_Staticness (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prefix}@anchor{b8e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prefix (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prefix}@anchor{b8f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prefix (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signature_Prefix}@anchor{b90}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signature_Prefix (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signature_Prefix}@anchor{b91}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signature_Prefix (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_External_Pathname}@anchor{b92}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_External_Pathname (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_External_Pathname}@anchor{b93}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_External_Pathname (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Suffix}@anchor{b94}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Suffix (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Suffix}@anchor{b95}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Suffix (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Expression}@anchor{b96}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Expression}@anchor{b97}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_In_Formal_Flag}@anchor{b98}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_In_Formal_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_In_Formal_Flag}@anchor{b99}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_In_Formal_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Slice_Subtype}@anchor{b9a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Slice_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Slice_Subtype}@anchor{b9b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Slice_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suffix}@anchor{b9c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suffix (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suffix}@anchor{b9d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suffix (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype}@anchor{b9e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype}@anchor{b9f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter}@anchor{ba0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter}@anchor{ba1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_2}@anchor{ba2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_2 (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_2}@anchor{ba3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_2 (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_3}@anchor{ba4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_3 (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_3}@anchor{ba5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_3 (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_4}@anchor{ba6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_4 (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_4}@anchor{ba7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_4 (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attr_Chain}@anchor{ba8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attr_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attr_Chain}@anchor{ba9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attr_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Declaration}@anchor{baa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Declaration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Declaration}@anchor{bab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type}@anchor{bac}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type}@anchor{bad}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type_Definition}@anchor{bae}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type_Definition (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type_Definition}@anchor{baf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type_Definition (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Chain}@anchor{bb0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Chain}@anchor{bb1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Individual_Association_Chain}@anchor{bb2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Individual_Association_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Individual_Association_Chain}@anchor{bb3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Individual_Association_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Association_Chain}@anchor{bb4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Association_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Association_Chain}@anchor{bb5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Association_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Info}@anchor{bb6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Info (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Info}@anchor{bb7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Info (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sub_Aggregate_Info}@anchor{bb8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sub_Aggregate_Info (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sub_Aggregate_Info}@anchor{bb9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sub_Aggregate_Info (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Dynamic_Flag}@anchor{bba}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Dynamic_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Dynamic_Flag}@anchor{bbb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Dynamic_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Min_Length}@anchor{bbc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Min_Length (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Min_Length}@anchor{bbd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Min_Length (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Low_Limit}@anchor{bbe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Low_Limit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Low_Limit}@anchor{bbf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Low_Limit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_High_Limit}@anchor{bc0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_High_Limit (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_High_Limit}@anchor{bc1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_High_Limit (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Others_Flag}@anchor{bc2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Others_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Others_Flag}@anchor{bc3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Others_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Named_Flag}@anchor{bc4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Named_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Named_Flag}@anchor{bc5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Named_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Expand_Flag}@anchor{bc6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Expand_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Expand_Flag}@anchor{bc7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Expand_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Choices_Chain}@anchor{bc8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Choices_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Choices_Chain}@anchor{bc9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Choices_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Case_Statement_Alternative_Chain}@anchor{bca}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Case_Statement_Alternative_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Case_Statement_Alternative_Chain}@anchor{bcb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Case_Statement_Alternative_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Staticness}@anchor{bcc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Staticness (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Staticness}@anchor{bcd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Staticness (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Procedure_Call}@anchor{bce}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Procedure_Call (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Procedure_Call}@anchor{bcf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Procedure_Call (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implementation}@anchor{bd0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implementation (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implementation}@anchor{bd1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implementation (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Association_Chain}@anchor{bd2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Association_Chain (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Association_Chain}@anchor{bd3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Association_Chain (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Method_Object}@anchor{bd4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Method_Object (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Method_Object}@anchor{bd5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Method_Object (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Type_Mark}@anchor{bd6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Type_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Type_Mark}@anchor{bd7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Type_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Nature_Mark}@anchor{bd8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Nature_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Nature_Mark}@anchor{bd9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Nature_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Conversion_Subtype}@anchor{bda}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Conversion_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Conversion_Subtype}@anchor{bdb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Conversion_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Mark}@anchor{bdc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Mark}@anchor{bdd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Type_Mark}@anchor{bde}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Type_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Type_Mark}@anchor{bdf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Type_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type_Mark}@anchor{be0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type_Mark (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type_Mark}@anchor{be1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type_Mark (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Disconnect_Flag}@anchor{be2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Disconnect_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Disconnect_Flag}@anchor{be3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Disconnect_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Active_Flag}@anchor{be4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Active_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Active_Flag}@anchor{be5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Active_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Within_Flag}@anchor{be6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Within_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Within_Flag}@anchor{be7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Within_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Marks_List}@anchor{be8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Marks_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Marks_List}@anchor{be9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Marks_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Alias_Flag}@anchor{bea}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Alias_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Alias_Flag}@anchor{beb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Alias_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alias_Signature}@anchor{bec}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alias_Signature (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alias_Signature}@anchor{bed}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alias_Signature (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Signature}@anchor{bee}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Signature (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Signature}@anchor{bef}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Signature (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_List}@anchor{bf0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_List (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_List}@anchor{bf1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Identifier}@anchor{bf2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Identifier (obj)
+
+
+@*Return type:
+~NameId
+
+@end deffn
+
+@geindex Set_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Identifier}@anchor{bf3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Identifier (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Subtype}@anchor{bf4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Subtype (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Subtype}@anchor{bf5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Subtype (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Body}@anchor{bf6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Body (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Body}@anchor{bf7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Declaration}@anchor{bf8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Declaration (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Declaration}@anchor{bf9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Flag}@anchor{bfa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Flag}@anchor{bfb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Reserved_Id}@anchor{bfc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Reserved_Id (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Reserved_Id}@anchor{bfd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Reserved_Id (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Identifier}@anchor{bfe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Identifier (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Identifier}@anchor{bff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Identifier (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Postponed}@anchor{c00}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Postponed (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Postponed}@anchor{c01}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Postponed (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Label}@anchor{c02}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Label (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Label}@anchor{c03}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Label (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Begin}@anchor{c04}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Begin (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Begin}@anchor{c05}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Begin (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_End}@anchor{c06}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_End (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_End}@anchor{c07}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_End (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Is}@anchor{c08}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Is (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Is}@anchor{c09}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Is (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Pure}@anchor{c0a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Pure (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Pure}@anchor{c0b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Pure (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Body}@anchor{c0c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Body (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Body}@anchor{c0d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Body (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Parameter}@anchor{c0e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Parameter (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Parameter}@anchor{c0f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Parameter (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Component}@anchor{c10}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Component (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Component}@anchor{c11}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Component (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Identifier_List}@anchor{c12}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Identifier_List (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Identifier_List}@anchor{c13}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Identifier_List (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Mode}@anchor{c14}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Mode (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Mode}@anchor{c15}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Mode (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Class}@anchor{c16}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Class (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Class}@anchor{c17}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Class (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Delay_Mechanism}@anchor{c18}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Delay_Mechanism (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Delay_Mechanism}@anchor{c19}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Delay_Mechanism (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_Flag}@anchor{c1a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_Flag}@anchor{c1b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Ref}@anchor{c1c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Ref (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Ref}@anchor{c1d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Ref (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Forward_Ref}@anchor{c1e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Forward_Ref (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Forward_Ref}@anchor{c1f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Forward_Ref (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Property}@anchor{c20}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Property (obj)
+
+
+@*Return type:
+~PSLNode
+
+@end deffn
+
+@geindex Set_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Property}@anchor{c21}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Property (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Sequence}@anchor{c22}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Sequence (obj)
+
+
+@*Return type:
+~PSLNode
+
+@end deffn
+
+@geindex Set_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Sequence}@anchor{c23}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Sequence (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Declaration}@anchor{c24}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Declaration (obj)
+
+
+@*Return type:
+~PSLNode
+
+@end deffn
+
+@geindex Set_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Declaration}@anchor{c25}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Declaration (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Expression}@anchor{c26}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Expression (obj)
+
+
+@*Return type:
+~PSLNode
+
+@end deffn
+
+@geindex Set_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Expression}@anchor{c27}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Boolean}@anchor{c28}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Boolean (obj)
+
+
+@*Return type:
+~PSLNode
+
+@end deffn
+
+@geindex Set_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Boolean}@anchor{c29}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Boolean (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock}@anchor{c2a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock (obj)
+
+
+@*Return type:
+~PSLNode
+
+@end deffn
+
+@geindex Set_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock}@anchor{c2b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_NFA}@anchor{c2c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_NFA (obj)
+
+
+@*Return type:
+~PSLNFA
+
+@end deffn
+
+@geindex Set_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_NFA}@anchor{c2d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_NFA (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Nbr_States}@anchor{c2e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Nbr_States (obj)
+
+
+@*Return type:
+~Int32
+
+@end deffn
+
+@geindex Set_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Nbr_States}@anchor{c2f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Nbr_States (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock_Sensitivity}@anchor{c30}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock_Sensitivity (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock_Sensitivity}@anchor{c31}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock_Sensitivity (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_EOS_Flag}@anchor{c32}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_EOS_Flag (obj)
+
+
+@*Return type:
+~Boolean
+
+@end deffn
+
+@geindex Set_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_EOS_Flag}@anchor{c33}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_EOS_Flag (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Count_Expression}@anchor{c34}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Count_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Count_Expression}@anchor{c35}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Count_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Clock_Expression}@anchor{c36}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Clock_Expression (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Clock_Expression}@anchor{c37}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Clock_Expression (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Clock}@anchor{c38}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Clock (obj)
+
+
+@*Return type:
+~Iir
+
+@end deffn
+
+@geindex Set_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Clock}@anchor{c39}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Clock (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Node}@anchor{c3a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Node (obj)
+
+
+@*Return type:
+~Int32
+
+@end deffn
+
+@geindex Set_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Node}@anchor{c3b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Node (obj, value)
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta doc}@anchor{106f}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta module-pyGHDL libghdl vhdl nodes_meta}@anchor{2c}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyghdl-libghdl-vhdl-nodes-meta}@anchor{1070}
+@subsubsection pyGHDL.libghdl.vhdl.nodes_meta
+
+
+@geindex module; pyGHDL.libghdl.vhdl.nodes_meta
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{1071,,types}:
+An enumeration.
+
+@item
+@ref{1072,,Attr}:
+An enumeration.
+
+@item
+@ref{1073,,fields}:
+An enumeration.
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1074,,get_fields_first()}:
+Return the list of fields for node @code{K}.
+
+@item
+@ref{1075,,get_fields_last()}:
+Return the list of fields for node @code{K}.
+
+@item
+@ref{1076,,get_field_by_index()}:
+
+@item
+@ref{1077,,get_field_type()}:
+Undocumented.
+
+@item
+@ref{1078,,get_field_attribute()}:
+Undocumented.
+
+@item
+@ref{1079,,Has_First_Design_Unit()}:
+
+@item
+@ref{107a,,Has_Last_Design_Unit()}:
+
+@item
+@ref{107b,,Has_Library_Declaration()}:
+
+@item
+@ref{107c,,Has_File_Checksum()}:
+
+@item
+@ref{107d,,Has_Analysis_Time_Stamp()}:
+
+@item
+@ref{107e,,Has_Design_File_Source()}:
+
+@item
+@ref{107f,,Has_Library()}:
+
+@item
+@ref{1080,,Has_File_Dependence_List()}:
+
+@item
+@ref{1081,,Has_Design_File_Filename()}:
+
+@item
+@ref{1082,,Has_Design_File_Directory()}:
+
+@item
+@ref{1083,,Has_Design_File()}:
+
+@item
+@ref{1084,,Has_Design_File_Chain()}:
+
+@item
+@ref{1085,,Has_Library_Directory()}:
+
+@item
+@ref{1086,,Has_Date()}:
+
+@item
+@ref{1087,,Has_Context_Items()}:
+
+@item
+@ref{1088,,Has_Dependence_List()}:
+
+@item
+@ref{1089,,Has_Analysis_Checks_List()}:
+
+@item
+@ref{108a,,Has_Date_State()}:
+
+@item
+@ref{108b,,Has_Guarded_Target_State()}:
+
+@item
+@ref{108c,,Has_Library_Unit()}:
+
+@item
+@ref{108d,,Has_Hash_Chain()}:
+
+@item
+@ref{108e,,Has_Design_Unit_Source_Pos()}:
+
+@item
+@ref{108f,,Has_Design_Unit_Source_Line()}:
+
+@item
+@ref{1090,,Has_Design_Unit_Source_Col()}:
+
+@item
+@ref{1091,,Has_Value()}:
+
+@item
+@ref{1092,,Has_Enum_Pos()}:
+
+@item
+@ref{1093,,Has_Physical_Literal()}:
+
+@item
+@ref{1094,,Has_Fp_Value()}:
+
+@item
+@ref{1095,,Has_Simple_Aggregate_List()}:
+
+@item
+@ref{1096,,Has_String8_Id()}:
+
+@item
+@ref{1097,,Has_String_Length()}:
+
+@item
+@ref{1098,,Has_Bit_String_Base()}:
+
+@item
+@ref{1099,,Has_Has_Signed()}:
+
+@item
+@ref{109a,,Has_Has_Sign()}:
+
+@item
+@ref{109b,,Has_Has_Length()}:
+
+@item
+@ref{109c,,Has_Literal_Length()}:
+
+@item
+@ref{109d,,Has_Literal_Origin()}:
+
+@item
+@ref{109e,,Has_Range_Origin()}:
+
+@item
+@ref{109f,,Has_Literal_Subtype()}:
+
+@item
+@ref{10a0,,Has_Allocator_Subtype()}:
+
+@item
+@ref{10a1,,Has_Entity_Class()}:
+
+@item
+@ref{10a2,,Has_Entity_Name_List()}:
+
+@item
+@ref{10a3,,Has_Attribute_Designator()}:
+
+@item
+@ref{10a4,,Has_Attribute_Specification_Chain()}:
+
+@item
+@ref{10a5,,Has_Attribute_Specification()}:
+
+@item
+@ref{10a6,,Has_Static_Attribute_Flag()}:
+
+@item
+@ref{10a7,,Has_Signal_List()}:
+
+@item
+@ref{10a8,,Has_Quantity_List()}:
+
+@item
+@ref{10a9,,Has_Designated_Entity()}:
+
+@item
+@ref{10aa,,Has_Formal()}:
+
+@item
+@ref{10ab,,Has_Actual()}:
+
+@item
+@ref{10ac,,Has_Actual_Conversion()}:
+
+@item
+@ref{10ad,,Has_Formal_Conversion()}:
+
+@item
+@ref{10ae,,Has_Whole_Association_Flag()}:
+
+@item
+@ref{10af,,Has_Collapse_Signal_Flag()}:
+
+@item
+@ref{10b0,,Has_Artificial_Flag()}:
+
+@item
+@ref{10b1,,Has_Open_Flag()}:
+
+@item
+@ref{10b2,,Has_After_Drivers_Flag()}:
+
+@item
+@ref{10b3,,Has_We_Value()}:
+
+@item
+@ref{10b4,,Has_Time()}:
+
+@item
+@ref{10b5,,Has_Associated_Expr()}:
+
+@item
+@ref{10b6,,Has_Associated_Block()}:
+
+@item
+@ref{10b7,,Has_Associated_Chain()}:
+
+@item
+@ref{10b8,,Has_Choice_Name()}:
+
+@item
+@ref{10b9,,Has_Choice_Expression()}:
+
+@item
+@ref{10ba,,Has_Choice_Range()}:
+
+@item
+@ref{10bb,,Has_Same_Alternative_Flag()}:
+
+@item
+@ref{10bc,,Has_Element_Type_Flag()}:
+
+@item
+@ref{10bd,,Has_Architecture()}:
+
+@item
+@ref{10be,,Has_Block_Specification()}:
+
+@item
+@ref{10bf,,Has_Prev_Block_Configuration()}:
+
+@item
+@ref{10c0,,Has_Configuration_Item_Chain()}:
+
+@item
+@ref{10c1,,Has_Attribute_Value_Chain()}:
+
+@item
+@ref{10c2,,Has_Spec_Chain()}:
+
+@item
+@ref{10c3,,Has_Value_Chain()}:
+
+@item
+@ref{10c4,,Has_Attribute_Value_Spec_Chain()}:
+
+@item
+@ref{10c5,,Has_Entity_Name()}:
+
+@item
+@ref{10c6,,Has_Package()}:
+
+@item
+@ref{10c7,,Has_Package_Body()}:
+
+@item
+@ref{10c8,,Has_Instance_Package_Body()}:
+
+@item
+@ref{10c9,,Has_Need_Body()}:
+
+@item
+@ref{10ca,,Has_Macro_Expanded_Flag()}:
+
+@item
+@ref{10cb,,Has_Need_Instance_Bodies()}:
+
+@item
+@ref{10cc,,Has_Hierarchical_Name()}:
+
+@item
+@ref{10cd,,Has_Inherit_Spec_Chain()}:
+
+@item
+@ref{10ce,,Has_Vunit_Item_Chain()}:
+
+@item
+@ref{10cf,,Has_Bound_Vunit_Chain()}:
+
+@item
+@ref{10d0,,Has_Verification_Block_Configuration()}:
+
+@item
+@ref{10d1,,Has_Block_Configuration()}:
+
+@item
+@ref{10d2,,Has_Concurrent_Statement_Chain()}:
+
+@item
+@ref{10d3,,Has_Chain()}:
+
+@item
+@ref{10d4,,Has_Port_Chain()}:
+
+@item
+@ref{10d5,,Has_Generic_Chain()}:
+
+@item
+@ref{10d6,,Has_Type()}:
+
+@item
+@ref{10d7,,Has_Subtype_Indication()}:
+
+@item
+@ref{10d8,,Has_Discrete_Range()}:
+
+@item
+@ref{10d9,,Has_Type_Definition()}:
+
+@item
+@ref{10da,,Has_Subtype_Definition()}:
+
+@item
+@ref{10db,,Has_Incomplete_Type_Declaration()}:
+
+@item
+@ref{10dc,,Has_Interface_Type_Subprograms()}:
+
+@item
+@ref{10dd,,Has_Nature_Definition()}:
+
+@item
+@ref{10de,,Has_Nature()}:
+
+@item
+@ref{10df,,Has_Subnature_Indication()}:
+
+@item
+@ref{10e0,,Has_Mode()}:
+
+@item
+@ref{10e1,,Has_Guarded_Signal_Flag()}:
+
+@item
+@ref{10e2,,Has_Signal_Kind()}:
+
+@item
+@ref{10e3,,Has_Base_Name()}:
+
+@item
+@ref{10e4,,Has_Interface_Declaration_Chain()}:
+
+@item
+@ref{10e5,,Has_Subprogram_Specification()}:
+
+@item
+@ref{10e6,,Has_Sequential_Statement_Chain()}:
+
+@item
+@ref{10e7,,Has_Simultaneous_Statement_Chain()}:
+
+@item
+@ref{10e8,,Has_Subprogram_Body()}:
+
+@item
+@ref{10e9,,Has_Overload_Number()}:
+
+@item
+@ref{10ea,,Has_Subprogram_Depth()}:
+
+@item
+@ref{10eb,,Has_Subprogram_Hash()}:
+
+@item
+@ref{10ec,,Has_Impure_Depth()}:
+
+@item
+@ref{10ed,,Has_Return_Type()}:
+
+@item
+@ref{10ee,,Has_Implicit_Definition()}:
+
+@item
+@ref{10ef,,Has_Uninstantiated_Subprogram_Name()}:
+
+@item
+@ref{10f0,,Has_Default_Value()}:
+
+@item
+@ref{10f1,,Has_Deferred_Declaration()}:
+
+@item
+@ref{10f2,,Has_Deferred_Declaration_Flag()}:
+
+@item
+@ref{10f3,,Has_Shared_Flag()}:
+
+@item
+@ref{10f4,,Has_Design_Unit()}:
+
+@item
+@ref{10f5,,Has_Block_Statement()}:
+
+@item
+@ref{10f6,,Has_Signal_Driver()}:
+
+@item
+@ref{10f7,,Has_Declaration_Chain()}:
+
+@item
+@ref{10f8,,Has_File_Logical_Name()}:
+
+@item
+@ref{10f9,,Has_File_Open_Kind()}:
+
+@item
+@ref{10fa,,Has_Element_Position()}:
+
+@item
+@ref{10fb,,Has_Use_Clause_Chain()}:
+
+@item
+@ref{10fc,,Has_Context_Reference_Chain()}:
+
+@item
+@ref{10fd,,Has_Selected_Name()}:
+
+@item
+@ref{10fe,,Has_Type_Declarator()}:
+
+@item
+@ref{10ff,,Has_Complete_Type_Definition()}:
+
+@item
+@ref{1100,,Has_Incomplete_Type_Ref_Chain()}:
+
+@item
+@ref{1101,,Has_Associated_Type()}:
+
+@item
+@ref{1102,,Has_Enumeration_Literal_List()}:
+
+@item
+@ref{1103,,Has_Entity_Class_Entry_Chain()}:
+
+@item
+@ref{1104,,Has_Group_Constituent_List()}:
+
+@item
+@ref{1105,,Has_Unit_Chain()}:
+
+@item
+@ref{1106,,Has_Primary_Unit()}:
+
+@item
+@ref{1107,,Has_Identifier()}:
+
+@item
+@ref{1108,,Has_Label()}:
+
+@item
+@ref{1109,,Has_Visible_Flag()}:
+
+@item
+@ref{110a,,Has_Range_Constraint()}:
+
+@item
+@ref{110b,,Has_Direction()}:
+
+@item
+@ref{110c,,Has_Left_Limit()}:
+
+@item
+@ref{110d,,Has_Right_Limit()}:
+
+@item
+@ref{110e,,Has_Left_Limit_Expr()}:
+
+@item
+@ref{110f,,Has_Right_Limit_Expr()}:
+
+@item
+@ref{1110,,Has_Parent_Type()}:
+
+@item
+@ref{1111,,Has_Simple_Nature()}:
+
+@item
+@ref{1112,,Has_Base_Nature()}:
+
+@item
+@ref{1113,,Has_Resolution_Indication()}:
+
+@item
+@ref{1114,,Has_Record_Element_Resolution_Chain()}:
+
+@item
+@ref{1115,,Has_Tolerance()}:
+
+@item
+@ref{1116,,Has_Plus_Terminal_Name()}:
+
+@item
+@ref{1117,,Has_Minus_Terminal_Name()}:
+
+@item
+@ref{1118,,Has_Plus_Terminal()}:
+
+@item
+@ref{1119,,Has_Minus_Terminal()}:
+
+@item
+@ref{111a,,Has_Magnitude_Expression()}:
+
+@item
+@ref{111b,,Has_Phase_Expression()}:
+
+@item
+@ref{111c,,Has_Power_Expression()}:
+
+@item
+@ref{111d,,Has_Simultaneous_Left()}:
+
+@item
+@ref{111e,,Has_Simultaneous_Right()}:
+
+@item
+@ref{111f,,Has_Text_File_Flag()}:
+
+@item
+@ref{1120,,Has_Only_Characters_Flag()}:
+
+@item
+@ref{1121,,Has_Is_Character_Type()}:
+
+@item
+@ref{1122,,Has_Nature_Staticness()}:
+
+@item
+@ref{1123,,Has_Type_Staticness()}:
+
+@item
+@ref{1124,,Has_Constraint_State()}:
+
+@item
+@ref{1125,,Has_Index_Subtype_List()}:
+
+@item
+@ref{1126,,Has_Index_Subtype_Definition_List()}:
+
+@item
+@ref{1127,,Has_Element_Subtype_Indication()}:
+
+@item
+@ref{1128,,Has_Element_Subtype()}:
+
+@item
+@ref{1129,,Has_Element_Subnature_Indication()}:
+
+@item
+@ref{112a,,Has_Element_Subnature()}:
+
+@item
+@ref{112b,,Has_Index_Constraint_List()}:
+
+@item
+@ref{112c,,Has_Array_Element_Constraint()}:
+
+@item
+@ref{112d,,Has_Has_Array_Constraint_Flag()}:
+
+@item
+@ref{112e,,Has_Has_Element_Constraint_Flag()}:
+
+@item
+@ref{112f,,Has_Elements_Declaration_List()}:
+
+@item
+@ref{1130,,Has_Owned_Elements_Chain()}:
+
+@item
+@ref{1131,,Has_Designated_Type()}:
+
+@item
+@ref{1132,,Has_Designated_Subtype_Indication()}:
+
+@item
+@ref{1133,,Has_Index_List()}:
+
+@item
+@ref{1134,,Has_Reference()}:
+
+@item
+@ref{1135,,Has_Nature_Declarator()}:
+
+@item
+@ref{1136,,Has_Across_Type_Mark()}:
+
+@item
+@ref{1137,,Has_Through_Type_Mark()}:
+
+@item
+@ref{1138,,Has_Across_Type_Definition()}:
+
+@item
+@ref{1139,,Has_Through_Type_Definition()}:
+
+@item
+@ref{113a,,Has_Across_Type()}:
+
+@item
+@ref{113b,,Has_Through_Type()}:
+
+@item
+@ref{113c,,Has_Target()}:
+
+@item
+@ref{113d,,Has_Waveform_Chain()}:
+
+@item
+@ref{113e,,Has_Guard()}:
+
+@item
+@ref{113f,,Has_Delay_Mechanism()}:
+
+@item
+@ref{1140,,Has_Reject_Time_Expression()}:
+
+@item
+@ref{1141,,Has_Force_Mode()}:
+
+@item
+@ref{1142,,Has_Has_Force_Mode()}:
+
+@item
+@ref{1143,,Has_Sensitivity_List()}:
+
+@item
+@ref{1144,,Has_Process_Origin()}:
+
+@item
+@ref{1145,,Has_Package_Origin()}:
+
+@item
+@ref{1146,,Has_Condition_Clause()}:
+
+@item
+@ref{1147,,Has_Break_Element()}:
+
+@item
+@ref{1148,,Has_Selector_Quantity()}:
+
+@item
+@ref{1149,,Has_Break_Quantity()}:
+
+@item
+@ref{114a,,Has_Timeout_Clause()}:
+
+@item
+@ref{114b,,Has_Postponed_Flag()}:
+
+@item
+@ref{114c,,Has_Callees_List()}:
+
+@item
+@ref{114d,,Has_Passive_Flag()}:
+
+@item
+@ref{114e,,Has_Resolution_Function_Flag()}:
+
+@item
+@ref{114f,,Has_Wait_State()}:
+
+@item
+@ref{1150,,Has_All_Sensitized_State()}:
+
+@item
+@ref{1151,,Has_Seen_Flag()}:
+
+@item
+@ref{1152,,Has_Pure_Flag()}:
+
+@item
+@ref{1153,,Has_Foreign_Flag()}:
+
+@item
+@ref{1154,,Has_Resolved_Flag()}:
+
+@item
+@ref{1155,,Has_Signal_Type_Flag()}:
+
+@item
+@ref{1156,,Has_Has_Signal_Flag()}:
+
+@item
+@ref{1157,,Has_Purity_State()}:
+
+@item
+@ref{1158,,Has_Elab_Flag()}:
+
+@item
+@ref{1159,,Has_Vendor_Library_Flag()}:
+
+@item
+@ref{115a,,Has_Configuration_Mark_Flag()}:
+
+@item
+@ref{115b,,Has_Configuration_Done_Flag()}:
+
+@item
+@ref{115c,,Has_Index_Constraint_Flag()}:
+
+@item
+@ref{115d,,Has_Hide_Implicit_Flag()}:
+
+@item
+@ref{115e,,Has_Assertion_Condition()}:
+
+@item
+@ref{115f,,Has_Report_Expression()}:
+
+@item
+@ref{1160,,Has_Severity_Expression()}:
+
+@item
+@ref{1161,,Has_Instantiated_Unit()}:
+
+@item
+@ref{1162,,Has_Generic_Map_Aspect_Chain()}:
+
+@item
+@ref{1163,,Has_Port_Map_Aspect_Chain()}:
+
+@item
+@ref{1164,,Has_Configuration_Name()}:
+
+@item
+@ref{1165,,Has_Component_Configuration()}:
+
+@item
+@ref{1166,,Has_Configuration_Specification()}:
+
+@item
+@ref{1167,,Has_Default_Binding_Indication()}:
+
+@item
+@ref{1168,,Has_Default_Configuration_Declaration()}:
+
+@item
+@ref{1169,,Has_Expression()}:
+
+@item
+@ref{116a,,Has_Conditional_Expression_Chain()}:
+
+@item
+@ref{116b,,Has_Allocator_Designated_Type()}:
+
+@item
+@ref{116c,,Has_Selected_Waveform_Chain()}:
+
+@item
+@ref{116d,,Has_Conditional_Waveform_Chain()}:
+
+@item
+@ref{116e,,Has_Guard_Expression()}:
+
+@item
+@ref{116f,,Has_Guard_Decl()}:
+
+@item
+@ref{1170,,Has_Guard_Sensitivity_List()}:
+
+@item
+@ref{1171,,Has_Signal_Attribute_Chain()}:
+
+@item
+@ref{1172,,Has_Block_Block_Configuration()}:
+
+@item
+@ref{1173,,Has_Package_Header()}:
+
+@item
+@ref{1174,,Has_Block_Header()}:
+
+@item
+@ref{1175,,Has_Uninstantiated_Package_Name()}:
+
+@item
+@ref{1176,,Has_Uninstantiated_Package_Decl()}:
+
+@item
+@ref{1177,,Has_Instance_Source_File()}:
+
+@item
+@ref{1178,,Has_Generate_Block_Configuration()}:
+
+@item
+@ref{1179,,Has_Generate_Statement_Body()}:
+
+@item
+@ref{117a,,Has_Alternative_Label()}:
+
+@item
+@ref{117b,,Has_Generate_Else_Clause()}:
+
+@item
+@ref{117c,,Has_Condition()}:
+
+@item
+@ref{117d,,Has_Else_Clause()}:
+
+@item
+@ref{117e,,Has_Parameter_Specification()}:
+
+@item
+@ref{117f,,Has_Parent()}:
+
+@item
+@ref{1180,,Has_Loop_Label()}:
+
+@item
+@ref{1181,,Has_Exit_Flag()}:
+
+@item
+@ref{1182,,Has_Next_Flag()}:
+
+@item
+@ref{1183,,Has_Component_Name()}:
+
+@item
+@ref{1184,,Has_Instantiation_List()}:
+
+@item
+@ref{1185,,Has_Entity_Aspect()}:
+
+@item
+@ref{1186,,Has_Default_Entity_Aspect()}:
+
+@item
+@ref{1187,,Has_Binding_Indication()}:
+
+@item
+@ref{1188,,Has_Named_Entity()}:
+
+@item
+@ref{1189,,Has_Referenced_Name()}:
+
+@item
+@ref{118a,,Has_Expr_Staticness()}:
+
+@item
+@ref{118b,,Has_Scalar_Size()}:
+
+@item
+@ref{118c,,Has_Error_Origin()}:
+
+@item
+@ref{118d,,Has_Operand()}:
+
+@item
+@ref{118e,,Has_Left()}:
+
+@item
+@ref{118f,,Has_Right()}:
+
+@item
+@ref{1190,,Has_Unit_Name()}:
+
+@item
+@ref{1191,,Has_Name()}:
+
+@item
+@ref{1192,,Has_Group_Template_Name()}:
+
+@item
+@ref{1193,,Has_Name_Staticness()}:
+
+@item
+@ref{1194,,Has_Prefix()}:
+
+@item
+@ref{1195,,Has_Signature_Prefix()}:
+
+@item
+@ref{1196,,Has_External_Pathname()}:
+
+@item
+@ref{1197,,Has_Pathname_Suffix()}:
+
+@item
+@ref{1198,,Has_Pathname_Expression()}:
+
+@item
+@ref{1199,,Has_In_Formal_Flag()}:
+
+@item
+@ref{119a,,Has_Slice_Subtype()}:
+
+@item
+@ref{119b,,Has_Suffix()}:
+
+@item
+@ref{119c,,Has_Index_Subtype()}:
+
+@item
+@ref{119d,,Has_Parameter()}:
+
+@item
+@ref{119e,,Has_Parameter_2()}:
+
+@item
+@ref{119f,,Has_Parameter_3()}:
+
+@item
+@ref{11a0,,Has_Parameter_4()}:
+
+@item
+@ref{11a1,,Has_Attr_Chain()}:
+
+@item
+@ref{11a2,,Has_Signal_Attribute_Declaration()}:
+
+@item
+@ref{11a3,,Has_Actual_Type()}:
+
+@item
+@ref{11a4,,Has_Actual_Type_Definition()}:
+
+@item
+@ref{11a5,,Has_Association_Chain()}:
+
+@item
+@ref{11a6,,Has_Individual_Association_Chain()}:
+
+@item
+@ref{11a7,,Has_Subprogram_Association_Chain()}:
+
+@item
+@ref{11a8,,Has_Aggregate_Info()}:
+
+@item
+@ref{11a9,,Has_Sub_Aggregate_Info()}:
+
+@item
+@ref{11aa,,Has_Aggr_Dynamic_Flag()}:
+
+@item
+@ref{11ab,,Has_Aggr_Min_Length()}:
+
+@item
+@ref{11ac,,Has_Aggr_Low_Limit()}:
+
+@item
+@ref{11ad,,Has_Aggr_High_Limit()}:
+
+@item
+@ref{11ae,,Has_Aggr_Others_Flag()}:
+
+@item
+@ref{11af,,Has_Aggr_Named_Flag()}:
+
+@item
+@ref{11b0,,Has_Aggregate_Expand_Flag()}:
+
+@item
+@ref{11b1,,Has_Association_Choices_Chain()}:
+
+@item
+@ref{11b2,,Has_Case_Statement_Alternative_Chain()}:
+
+@item
+@ref{11b3,,Has_Choice_Staticness()}:
+
+@item
+@ref{11b4,,Has_Procedure_Call()}:
+
+@item
+@ref{11b5,,Has_Implementation()}:
+
+@item
+@ref{11b6,,Has_Parameter_Association_Chain()}:
+
+@item
+@ref{11b7,,Has_Method_Object()}:
+
+@item
+@ref{11b8,,Has_Subtype_Type_Mark()}:
+
+@item
+@ref{11b9,,Has_Subnature_Nature_Mark()}:
+
+@item
+@ref{11ba,,Has_Type_Conversion_Subtype()}:
+
+@item
+@ref{11bb,,Has_Type_Mark()}:
+
+@item
+@ref{11bc,,Has_File_Type_Mark()}:
+
+@item
+@ref{11bd,,Has_Return_Type_Mark()}:
+
+@item
+@ref{11be,,Has_Has_Disconnect_Flag()}:
+
+@item
+@ref{11bf,,Has_Has_Active_Flag()}:
+
+@item
+@ref{11c0,,Has_Is_Within_Flag()}:
+
+@item
+@ref{11c1,,Has_Type_Marks_List()}:
+
+@item
+@ref{11c2,,Has_Implicit_Alias_Flag()}:
+
+@item
+@ref{11c3,,Has_Alias_Signature()}:
+
+@item
+@ref{11c4,,Has_Attribute_Signature()}:
+
+@item
+@ref{11c5,,Has_Overload_List()}:
+
+@item
+@ref{11c6,,Has_Simple_Name_Identifier()}:
+
+@item
+@ref{11c7,,Has_Simple_Name_Subtype()}:
+
+@item
+@ref{11c8,,Has_Protected_Type_Body()}:
+
+@item
+@ref{11c9,,Has_Protected_Type_Declaration()}:
+
+@item
+@ref{11ca,,Has_Use_Flag()}:
+
+@item
+@ref{11cb,,Has_End_Has_Reserved_Id()}:
+
+@item
+@ref{11cc,,Has_End_Has_Identifier()}:
+
+@item
+@ref{11cd,,Has_End_Has_Postponed()}:
+
+@item
+@ref{11ce,,Has_Has_Label()}:
+
+@item
+@ref{11cf,,Has_Has_Begin()}:
+
+@item
+@ref{11d0,,Has_Has_End()}:
+
+@item
+@ref{11d1,,Has_Has_Is()}:
+
+@item
+@ref{11d2,,Has_Has_Pure()}:
+
+@item
+@ref{11d3,,Has_Has_Body()}:
+
+@item
+@ref{11d4,,Has_Has_Parameter()}:
+
+@item
+@ref{11d5,,Has_Has_Component()}:
+
+@item
+@ref{11d6,,Has_Has_Identifier_List()}:
+
+@item
+@ref{11d7,,Has_Has_Mode()}:
+
+@item
+@ref{11d8,,Has_Has_Class()}:
+
+@item
+@ref{11d9,,Has_Has_Delay_Mechanism()}:
+
+@item
+@ref{11da,,Has_Suspend_Flag()}:
+
+@item
+@ref{11db,,Has_Is_Ref()}:
+
+@item
+@ref{11dc,,Has_Is_Forward_Ref()}:
+
+@item
+@ref{11dd,,Has_Psl_Property()}:
+
+@item
+@ref{11de,,Has_Psl_Sequence()}:
+
+@item
+@ref{11df,,Has_Psl_Declaration()}:
+
+@item
+@ref{11e0,,Has_Psl_Expression()}:
+
+@item
+@ref{11e1,,Has_Psl_Boolean()}:
+
+@item
+@ref{11e2,,Has_PSL_Clock()}:
+
+@item
+@ref{11e3,,Has_PSL_NFA()}:
+
+@item
+@ref{11e4,,Has_PSL_Nbr_States()}:
+
+@item
+@ref{11e5,,Has_PSL_Clock_Sensitivity()}:
+
+@item
+@ref{11e6,,Has_PSL_EOS_Flag()}:
+
+@item
+@ref{11e7,,Has_Count_Expression()}:
+
+@item
+@ref{11e8,,Has_Clock_Expression()}:
+
+@item
+@ref{11e9,,Has_Default_Clock()}:
+
+@item
+@ref{11ea,,Has_Foreign_Node()}:
+@end itemize
+
+@c #-----------------------------------
+
+@geindex types (class in pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types}@anchor{1071}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.types (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-3072a9721fc56c46b673d728b6ae8adc67ffa5c5,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Boolean (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Boolean}@anchor{11eb}
+@deffn {Attribute} Boolean = 0
+@end deffn
+
+@geindex Date_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_State_Type}@anchor{11ec}
+@deffn {Attribute} Date_State_Type = 1
+@end deffn
+
+@geindex Date_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_Type}@anchor{11ed}
+@deffn {Attribute} Date_Type = 2
+@end deffn
+
+@geindex Direction_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Direction_Type}@anchor{11ee}
+@deffn {Attribute} Direction_Type = 3
+@end deffn
+
+@geindex File_Checksum_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types File_Checksum_Id}@anchor{11ef}
+@deffn {Attribute} File_Checksum_Id = 4
+@end deffn
+
+@geindex Fp64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Fp64}@anchor{11f0}
+@deffn {Attribute} Fp64 = 5
+@end deffn
+
+@geindex Iir (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir}@anchor{11f1}
+@deffn {Attribute} Iir = 6
+@end deffn
+
+@geindex Iir_All_Sensitized (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_All_Sensitized}@anchor{11f2}
+@deffn {Attribute} Iir_All_Sensitized = 7
+@end deffn
+
+@geindex Iir_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Constraint}@anchor{11f3}
+@deffn {Attribute} Iir_Constraint = 8
+@end deffn
+
+@geindex Iir_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Delay_Mechanism}@anchor{11f4}
+@deffn {Attribute} Iir_Delay_Mechanism = 9
+@end deffn
+
+@geindex Iir_Flist (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Flist}@anchor{11f5}
+@deffn {Attribute} Iir_Flist = 10
+@end deffn
+
+@geindex Iir_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Force_Mode}@anchor{11f6}
+@deffn {Attribute} Iir_Force_Mode = 11
+@end deffn
+
+@geindex Iir_Index32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Index32}@anchor{11f7}
+@deffn {Attribute} Iir_Index32 = 12
+@end deffn
+
+@geindex Iir_Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Int32}@anchor{11f8}
+@deffn {Attribute} Iir_Int32 = 13
+@end deffn
+
+@geindex Iir_List (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_List}@anchor{11f9}
+@deffn {Attribute} Iir_List = 14
+@end deffn
+
+@geindex Iir_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Mode}@anchor{11fa}
+@deffn {Attribute} Iir_Mode = 15
+@end deffn
+
+@geindex Iir_Predefined_Functions (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Predefined_Functions}@anchor{11fb}
+@deffn {Attribute} Iir_Predefined_Functions = 16
+@end deffn
+
+@geindex Iir_Pure_State (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Pure_State}@anchor{11fc}
+@deffn {Attribute} Iir_Pure_State = 17
+@end deffn
+
+@geindex Iir_Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Signal_Kind}@anchor{11fd}
+@deffn {Attribute} Iir_Signal_Kind = 18
+@end deffn
+
+@geindex Iir_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Staticness}@anchor{11fe}
+@deffn {Attribute} Iir_Staticness = 19
+@end deffn
+
+@geindex Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int32}@anchor{11ff}
+@deffn {Attribute} Int32 = 20
+@end deffn
+
+@geindex Int64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int64}@anchor{1200}
+@deffn {Attribute} Int64 = 21
+@end deffn
+
+@geindex Name_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Name_Id}@anchor{1201}
+@deffn {Attribute} Name_Id = 22
+@end deffn
+
+@geindex Number_Base_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Number_Base_Type}@anchor{1202}
+@deffn {Attribute} Number_Base_Type = 23
+@end deffn
+
+@geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_NFA}@anchor{1203}
+@deffn {Attribute} PSL_NFA = 24
+@end deffn
+
+@geindex PSL_Node (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_Node}@anchor{1204}
+@deffn {Attribute} PSL_Node = 25
+@end deffn
+
+@geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Scalar_Size}@anchor{1205}
+@deffn {Attribute} Scalar_Size = 26
+@end deffn
+
+@geindex Source_File_Entry (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_File_Entry}@anchor{1206}
+@deffn {Attribute} Source_File_Entry = 27
+@end deffn
+
+@geindex Source_Ptr (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_Ptr}@anchor{1207}
+@deffn {Attribute} Source_Ptr = 28
+@end deffn
+
+@geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types String8_Id}@anchor{1208}
+@deffn {Attribute} String8_Id = 29
+@end deffn
+
+@geindex Time_Stamp_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Time_Stamp_Id}@anchor{1209}
+@deffn {Attribute} Time_Stamp_Id = 30
+@end deffn
+
+@geindex Token_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Token_Type}@anchor{120a}
+@deffn {Attribute} Token_Type = 31
+@end deffn
+
+@geindex Tri_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Tri_State_Type}@anchor{120b}
+@deffn {Attribute} Tri_State_Type = 32
+@end deffn
+@end deffn
+
+@geindex Attr (class in pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr}@anchor{1072}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.Attr (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-ad47dd5b49620e77250b398840f12ca82cd81d6a,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex ANone (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr ANone}@anchor{120c}
+@deffn {Attribute} ANone = 0
+@end deffn
+
+@geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain}@anchor{120d}
+@deffn {Attribute} Chain = 1
+@end deffn
+
+@geindex Chain_Next (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain_Next}@anchor{120e}
+@deffn {Attribute} Chain_Next = 2
+@end deffn
+
+@geindex Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Forward_Ref}@anchor{120f}
+@deffn {Attribute} Forward_Ref = 3
+@end deffn
+
+@geindex Maybe_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Forward_Ref}@anchor{1210}
+@deffn {Attribute} Maybe_Forward_Ref = 4
+@end deffn
+
+@geindex Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Ref}@anchor{1211}
+@deffn {Attribute} Maybe_Ref = 5
+@end deffn
+
+@geindex Of_Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Maybe_Ref}@anchor{1212}
+@deffn {Attribute} Of_Maybe_Ref = 6
+@end deffn
+
+@geindex Of_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Ref}@anchor{1213}
+@deffn {Attribute} Of_Ref = 7
+@end deffn
+
+@geindex Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Ref}@anchor{1214}
+@deffn {Attribute} Ref = 8
+@end deffn
+@end deffn
+
+@geindex fields (class in pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields}@anchor{1073}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.fields (value)
+
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-083f42d976e3b5b84453f40a30362f0b1bec9ba8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex First_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields First_Design_Unit}@anchor{1215}
+@deffn {Attribute} First_Design_Unit = 0
+@end deffn
+
+@geindex Last_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Last_Design_Unit}@anchor{1216}
+@deffn {Attribute} Last_Design_Unit = 1
+@end deffn
+
+@geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Declaration}@anchor{1217}
+@deffn {Attribute} Library_Declaration = 2
+@end deffn
+
+@geindex File_Checksum (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Checksum}@anchor{1218}
+@deffn {Attribute} File_Checksum = 3
+@end deffn
+
+@geindex Analysis_Time_Stamp (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Time_Stamp}@anchor{1219}
+@deffn {Attribute} Analysis_Time_Stamp = 4
+@end deffn
+
+@geindex Design_File_Source (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Source}@anchor{121a}
+@deffn {Attribute} Design_File_Source = 5
+@end deffn
+
+@geindex Library (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library}@anchor{121b}
+@deffn {Attribute} Library = 6
+@end deffn
+
+@geindex File_Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Dependence_List}@anchor{121c}
+@deffn {Attribute} File_Dependence_List = 7
+@end deffn
+
+@geindex Design_File_Filename (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Filename}@anchor{121d}
+@deffn {Attribute} Design_File_Filename = 8
+@end deffn
+
+@geindex Design_File_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Directory}@anchor{121e}
+@deffn {Attribute} Design_File_Directory = 9
+@end deffn
+
+@geindex Design_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File}@anchor{121f}
+@deffn {Attribute} Design_File = 10
+@end deffn
+
+@geindex Design_File_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Chain}@anchor{1220}
+@deffn {Attribute} Design_File_Chain = 11
+@end deffn
+
+@geindex Library_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Directory}@anchor{1221}
+@deffn {Attribute} Library_Directory = 12
+@end deffn
+
+@geindex Date (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date}@anchor{1222}
+@deffn {Attribute} Date = 13
+@end deffn
+
+@geindex Context_Items (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Items}@anchor{1223}
+@deffn {Attribute} Context_Items = 14
+@end deffn
+
+@geindex Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Dependence_List}@anchor{1224}
+@deffn {Attribute} Dependence_List = 15
+@end deffn
+
+@geindex Analysis_Checks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Checks_List}@anchor{1225}
+@deffn {Attribute} Analysis_Checks_List = 16
+@end deffn
+
+@geindex Date_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date_State}@anchor{1226}
+@deffn {Attribute} Date_State = 17
+@end deffn
+
+@geindex Guarded_Target_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Target_State}@anchor{1227}
+@deffn {Attribute} Guarded_Target_State = 18
+@end deffn
+
+@geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Unit}@anchor{1228}
+@deffn {Attribute} Library_Unit = 19
+@end deffn
+
+@geindex Hash_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hash_Chain}@anchor{1229}
+@deffn {Attribute} Hash_Chain = 20
+@end deffn
+
+@geindex Design_Unit_Source_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Pos}@anchor{122a}
+@deffn {Attribute} Design_Unit_Source_Pos = 21
+@end deffn
+
+@geindex Design_Unit_Source_Line (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Line}@anchor{122b}
+@deffn {Attribute} Design_Unit_Source_Line = 22
+@end deffn
+
+@geindex Design_Unit_Source_Col (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Col}@anchor{122c}
+@deffn {Attribute} Design_Unit_Source_Col = 23
+@end deffn
+
+@geindex Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value}@anchor{122d}
+@deffn {Attribute} Value = 24
+@end deffn
+
+@geindex Enum_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enum_Pos}@anchor{122e}
+@deffn {Attribute} Enum_Pos = 25
+@end deffn
+
+@geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Physical_Literal}@anchor{122f}
+@deffn {Attribute} Physical_Literal = 26
+@end deffn
+
+@geindex Fp_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Fp_Value}@anchor{1230}
+@deffn {Attribute} Fp_Value = 27
+@end deffn
+
+@geindex Simple_Aggregate_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Aggregate_List}@anchor{1231}
+@deffn {Attribute} Simple_Aggregate_List = 28
+@end deffn
+
+@geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String8_Id}@anchor{1232}
+@deffn {Attribute} String8_Id = 29
+@end deffn
+
+@geindex String_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String_Length}@anchor{1233}
+@deffn {Attribute} String_Length = 30
+@end deffn
+
+@geindex Bit_String_Base (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bit_String_Base}@anchor{1234}
+@deffn {Attribute} Bit_String_Base = 31
+@end deffn
+
+@geindex Has_Signed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signed}@anchor{1235}
+@deffn {Attribute} Has_Signed = 32
+@end deffn
+
+@geindex Has_Sign (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Sign}@anchor{1236}
+@deffn {Attribute} Has_Sign = 33
+@end deffn
+
+@geindex Has_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Length}@anchor{1237}
+@deffn {Attribute} Has_Length = 34
+@end deffn
+
+@geindex Literal_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Length}@anchor{1238}
+@deffn {Attribute} Literal_Length = 35
+@end deffn
+
+@geindex Literal_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Origin}@anchor{1239}
+@deffn {Attribute} Literal_Origin = 36
+@end deffn
+
+@geindex Range_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Origin}@anchor{123a}
+@deffn {Attribute} Range_Origin = 37
+@end deffn
+
+@geindex Literal_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Subtype}@anchor{123b}
+@deffn {Attribute} Literal_Subtype = 38
+@end deffn
+
+@geindex Allocator_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Subtype}@anchor{123c}
+@deffn {Attribute} Allocator_Subtype = 39
+@end deffn
+
+@geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class}@anchor{123d}
+@deffn {Attribute} Entity_Class = 40
+@end deffn
+
+@geindex Entity_Name_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name_List}@anchor{123e}
+@deffn {Attribute} Entity_Name_List = 41
+@end deffn
+
+@geindex Attribute_Designator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Designator}@anchor{123f}
+@deffn {Attribute} Attribute_Designator = 42
+@end deffn
+
+@geindex Attribute_Specification_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification_Chain}@anchor{1240}
+@deffn {Attribute} Attribute_Specification_Chain = 43
+@end deffn
+
+@geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification}@anchor{1241}
+@deffn {Attribute} Attribute_Specification = 44
+@end deffn
+
+@geindex Static_Attribute_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Static_Attribute_Flag}@anchor{1242}
+@deffn {Attribute} Static_Attribute_Flag = 45
+@end deffn
+
+@geindex Signal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_List}@anchor{1243}
+@deffn {Attribute} Signal_List = 46
+@end deffn
+
+@geindex Quantity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Quantity_List}@anchor{1244}
+@deffn {Attribute} Quantity_List = 47
+@end deffn
+
+@geindex Designated_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Entity}@anchor{1245}
+@deffn {Attribute} Designated_Entity = 48
+@end deffn
+
+@geindex Formal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal}@anchor{1246}
+@deffn {Attribute} Formal = 49
+@end deffn
+
+@geindex Actual (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual}@anchor{1247}
+@deffn {Attribute} Actual = 50
+@end deffn
+
+@geindex Actual_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Conversion}@anchor{1248}
+@deffn {Attribute} Actual_Conversion = 51
+@end deffn
+
+@geindex Formal_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal_Conversion}@anchor{1249}
+@deffn {Attribute} Formal_Conversion = 52
+@end deffn
+
+@geindex Whole_Association_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Whole_Association_Flag}@anchor{124a}
+@deffn {Attribute} Whole_Association_Flag = 53
+@end deffn
+
+@geindex Collapse_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Collapse_Signal_Flag}@anchor{124b}
+@deffn {Attribute} Collapse_Signal_Flag = 54
+@end deffn
+
+@geindex Artificial_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Artificial_Flag}@anchor{124c}
+@deffn {Attribute} Artificial_Flag = 55
+@end deffn
+
+@geindex Open_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Open_Flag}@anchor{124d}
+@deffn {Attribute} Open_Flag = 56
+@end deffn
+
+@geindex After_Drivers_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields After_Drivers_Flag}@anchor{124e}
+@deffn {Attribute} After_Drivers_Flag = 57
+@end deffn
+
+@geindex We_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields We_Value}@anchor{124f}
+@deffn {Attribute} We_Value = 58
+@end deffn
+
+@geindex Time (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Time}@anchor{1250}
+@deffn {Attribute} Time = 59
+@end deffn
+
+@geindex Associated_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Expr}@anchor{1251}
+@deffn {Attribute} Associated_Expr = 60
+@end deffn
+
+@geindex Associated_Block (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Block}@anchor{1252}
+@deffn {Attribute} Associated_Block = 61
+@end deffn
+
+@geindex Associated_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Chain}@anchor{1253}
+@deffn {Attribute} Associated_Chain = 62
+@end deffn
+
+@geindex Choice_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Name}@anchor{1254}
+@deffn {Attribute} Choice_Name = 63
+@end deffn
+
+@geindex Choice_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Expression}@anchor{1255}
+@deffn {Attribute} Choice_Expression = 64
+@end deffn
+
+@geindex Choice_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Range}@anchor{1256}
+@deffn {Attribute} Choice_Range = 65
+@end deffn
+
+@geindex Same_Alternative_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Same_Alternative_Flag}@anchor{1257}
+@deffn {Attribute} Same_Alternative_Flag = 66
+@end deffn
+
+@geindex Element_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Type_Flag}@anchor{1258}
+@deffn {Attribute} Element_Type_Flag = 67
+@end deffn
+
+@geindex Architecture (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Architecture}@anchor{1259}
+@deffn {Attribute} Architecture = 68
+@end deffn
+
+@geindex Block_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Specification}@anchor{125a}
+@deffn {Attribute} Block_Specification = 69
+@end deffn
+
+@geindex Prev_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prev_Block_Configuration}@anchor{125b}
+@deffn {Attribute} Prev_Block_Configuration = 70
+@end deffn
+
+@geindex Configuration_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Item_Chain}@anchor{125c}
+@deffn {Attribute} Configuration_Item_Chain = 71
+@end deffn
+
+@geindex Attribute_Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Chain}@anchor{125d}
+@deffn {Attribute} Attribute_Value_Chain = 72
+@end deffn
+
+@geindex Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Spec_Chain}@anchor{125e}
+@deffn {Attribute} Spec_Chain = 73
+@end deffn
+
+@geindex Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value_Chain}@anchor{125f}
+@deffn {Attribute} Value_Chain = 74
+@end deffn
+
+@geindex Attribute_Value_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Spec_Chain}@anchor{1260}
+@deffn {Attribute} Attribute_Value_Spec_Chain = 75
+@end deffn
+
+@geindex Entity_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name}@anchor{1261}
+@deffn {Attribute} Entity_Name = 76
+@end deffn
+
+@geindex Package (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package}@anchor{1262}
+@deffn {Attribute} Package = 77
+@end deffn
+
+@geindex Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Body}@anchor{1263}
+@deffn {Attribute} Package_Body = 78
+@end deffn
+
+@geindex Instance_Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Package_Body}@anchor{1264}
+@deffn {Attribute} Instance_Package_Body = 79
+@end deffn
+
+@geindex Need_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Body}@anchor{1265}
+@deffn {Attribute} Need_Body = 80
+@end deffn
+
+@geindex Macro_Expanded_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Macro_Expanded_Flag}@anchor{1266}
+@deffn {Attribute} Macro_Expanded_Flag = 81
+@end deffn
+
+@geindex Need_Instance_Bodies (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Instance_Bodies}@anchor{1267}
+@deffn {Attribute} Need_Instance_Bodies = 82
+@end deffn
+
+@geindex Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hierarchical_Name}@anchor{1268}
+@deffn {Attribute} Hierarchical_Name = 83
+@end deffn
+
+@geindex Inherit_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Inherit_Spec_Chain}@anchor{1269}
+@deffn {Attribute} Inherit_Spec_Chain = 84
+@end deffn
+
+@geindex Vunit_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vunit_Item_Chain}@anchor{126a}
+@deffn {Attribute} Vunit_Item_Chain = 85
+@end deffn
+
+@geindex Bound_Vunit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bound_Vunit_Chain}@anchor{126b}
+@deffn {Attribute} Bound_Vunit_Chain = 86
+@end deffn
+
+@geindex Verification_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Verification_Block_Configuration}@anchor{126c}
+@deffn {Attribute} Verification_Block_Configuration = 87
+@end deffn
+
+@geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Configuration}@anchor{126d}
+@deffn {Attribute} Block_Configuration = 88
+@end deffn
+
+@geindex Concurrent_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Concurrent_Statement_Chain}@anchor{126e}
+@deffn {Attribute} Concurrent_Statement_Chain = 89
+@end deffn
+
+@geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Chain}@anchor{126f}
+@deffn {Attribute} Chain = 90
+@end deffn
+
+@geindex Port_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Chain}@anchor{1270}
+@deffn {Attribute} Port_Chain = 91
+@end deffn
+
+@geindex Generic_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Chain}@anchor{1271}
+@deffn {Attribute} Generic_Chain = 92
+@end deffn
+
+@geindex Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type}@anchor{1272}
+@deffn {Attribute} Type = 93
+@end deffn
+
+@geindex Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Indication}@anchor{1273}
+@deffn {Attribute} Subtype_Indication = 94
+@end deffn
+
+@geindex Discrete_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Discrete_Range}@anchor{1274}
+@deffn {Attribute} Discrete_Range = 95
+@end deffn
+
+@geindex Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Definition}@anchor{1275}
+@deffn {Attribute} Type_Definition = 96
+@end deffn
+
+@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Definition}@anchor{1276}
+@deffn {Attribute} Subtype_Definition = 97
+@end deffn
+
+@geindex Incomplete_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Declaration}@anchor{1277}
+@deffn {Attribute} Incomplete_Type_Declaration = 98
+@end deffn
+
+@geindex Interface_Type_Subprograms (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Type_Subprograms}@anchor{1278}
+@deffn {Attribute} Interface_Type_Subprograms = 99
+@end deffn
+
+@geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Definition}@anchor{1279}
+@deffn {Attribute} Nature_Definition = 100
+@end deffn
+
+@geindex Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature}@anchor{127a}
+@deffn {Attribute} Nature = 101
+@end deffn
+
+@geindex Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Indication}@anchor{127b}
+@deffn {Attribute} Subnature_Indication = 102
+@end deffn
+
+@geindex Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Mode}@anchor{127c}
+@deffn {Attribute} Mode = 103
+@end deffn
+
+@geindex Guarded_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Signal_Flag}@anchor{127d}
+@deffn {Attribute} Guarded_Signal_Flag = 104
+@end deffn
+
+@geindex Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Kind}@anchor{127e}
+@deffn {Attribute} Signal_Kind = 105
+@end deffn
+
+@geindex Base_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Name}@anchor{127f}
+@deffn {Attribute} Base_Name = 106
+@end deffn
+
+@geindex Interface_Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Declaration_Chain}@anchor{1280}
+@deffn {Attribute} Interface_Declaration_Chain = 107
+@end deffn
+
+@geindex Subprogram_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Specification}@anchor{1281}
+@deffn {Attribute} Subprogram_Specification = 108
+@end deffn
+
+@geindex Sequential_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sequential_Statement_Chain}@anchor{1282}
+@deffn {Attribute} Sequential_Statement_Chain = 109
+@end deffn
+
+@geindex Simultaneous_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Statement_Chain}@anchor{1283}
+@deffn {Attribute} Simultaneous_Statement_Chain = 110
+@end deffn
+
+@geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Body}@anchor{1284}
+@deffn {Attribute} Subprogram_Body = 111
+@end deffn
+
+@geindex Overload_Number (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_Number}@anchor{1285}
+@deffn {Attribute} Overload_Number = 112
+@end deffn
+
+@geindex Subprogram_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Depth}@anchor{1286}
+@deffn {Attribute} Subprogram_Depth = 113
+@end deffn
+
+@geindex Subprogram_Hash (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Hash}@anchor{1287}
+@deffn {Attribute} Subprogram_Hash = 114
+@end deffn
+
+@geindex Impure_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Impure_Depth}@anchor{1288}
+@deffn {Attribute} Impure_Depth = 115
+@end deffn
+
+@geindex Return_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type}@anchor{1289}
+@deffn {Attribute} Return_Type = 116
+@end deffn
+
+@geindex Implicit_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Definition}@anchor{128a}
+@deffn {Attribute} Implicit_Definition = 117
+@end deffn
+
+@geindex Uninstantiated_Subprogram_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Subprogram_Name}@anchor{128b}
+@deffn {Attribute} Uninstantiated_Subprogram_Name = 118
+@end deffn
+
+@geindex Default_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Value}@anchor{128c}
+@deffn {Attribute} Default_Value = 119
+@end deffn
+
+@geindex Deferred_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration}@anchor{128d}
+@deffn {Attribute} Deferred_Declaration = 120
+@end deffn
+
+@geindex Deferred_Declaration_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration_Flag}@anchor{128e}
+@deffn {Attribute} Deferred_Declaration_Flag = 121
+@end deffn
+
+@geindex Shared_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Shared_Flag}@anchor{128f}
+@deffn {Attribute} Shared_Flag = 122
+@end deffn
+
+@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit}@anchor{1290}
+@deffn {Attribute} Design_Unit = 123
+@end deffn
+
+@geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Statement}@anchor{1291}
+@deffn {Attribute} Block_Statement = 124
+@end deffn
+
+@geindex Signal_Driver (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Driver}@anchor{1292}
+@deffn {Attribute} Signal_Driver = 125
+@end deffn
+
+@geindex Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Declaration_Chain}@anchor{1293}
+@deffn {Attribute} Declaration_Chain = 126
+@end deffn
+
+@geindex File_Logical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Logical_Name}@anchor{1294}
+@deffn {Attribute} File_Logical_Name = 127
+@end deffn
+
+@geindex File_Open_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Open_Kind}@anchor{1295}
+@deffn {Attribute} File_Open_Kind = 128
+@end deffn
+
+@geindex Element_Position (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Position}@anchor{1296}
+@deffn {Attribute} Element_Position = 129
+@end deffn
+
+@geindex Use_Clause_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Clause_Chain}@anchor{1297}
+@deffn {Attribute} Use_Clause_Chain = 130
+@end deffn
+
+@geindex Context_Reference_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Reference_Chain}@anchor{1298}
+@deffn {Attribute} Context_Reference_Chain = 131
+@end deffn
+
+@geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Name}@anchor{1299}
+@deffn {Attribute} Selected_Name = 132
+@end deffn
+
+@geindex Type_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Declarator}@anchor{129a}
+@deffn {Attribute} Type_Declarator = 133
+@end deffn
+
+@geindex Complete_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Complete_Type_Definition}@anchor{129b}
+@deffn {Attribute} Complete_Type_Definition = 134
+@end deffn
+
+@geindex Incomplete_Type_Ref_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Ref_Chain}@anchor{129c}
+@deffn {Attribute} Incomplete_Type_Ref_Chain = 135
+@end deffn
+
+@geindex Associated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Type}@anchor{129d}
+@deffn {Attribute} Associated_Type = 136
+@end deffn
+
+@geindex Enumeration_Literal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enumeration_Literal_List}@anchor{129e}
+@deffn {Attribute} Enumeration_Literal_List = 137
+@end deffn
+
+@geindex Entity_Class_Entry_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class_Entry_Chain}@anchor{129f}
+@deffn {Attribute} Entity_Class_Entry_Chain = 138
+@end deffn
+
+@geindex Group_Constituent_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Constituent_List}@anchor{12a0}
+@deffn {Attribute} Group_Constituent_List = 139
+@end deffn
+
+@geindex Unit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Chain}@anchor{12a1}
+@deffn {Attribute} Unit_Chain = 140
+@end deffn
+
+@geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Primary_Unit}@anchor{12a2}
+@deffn {Attribute} Primary_Unit = 141
+@end deffn
+
+@geindex Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Identifier}@anchor{12a3}
+@deffn {Attribute} Identifier = 142
+@end deffn
+
+@geindex Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Label}@anchor{12a4}
+@deffn {Attribute} Label = 143
+@end deffn
+
+@geindex Visible_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Visible_Flag}@anchor{12a5}
+@deffn {Attribute} Visible_Flag = 144
+@end deffn
+
+@geindex Range_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Constraint}@anchor{12a6}
+@deffn {Attribute} Range_Constraint = 145
+@end deffn
+
+@geindex Direction (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Direction}@anchor{12a7}
+@deffn {Attribute} Direction = 146
+@end deffn
+
+@geindex Left_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit}@anchor{12a8}
+@deffn {Attribute} Left_Limit = 147
+@end deffn
+
+@geindex Right_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit}@anchor{12a9}
+@deffn {Attribute} Right_Limit = 148
+@end deffn
+
+@geindex Left_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit_Expr}@anchor{12aa}
+@deffn {Attribute} Left_Limit_Expr = 149
+@end deffn
+
+@geindex Right_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit_Expr}@anchor{12ab}
+@deffn {Attribute} Right_Limit_Expr = 150
+@end deffn
+
+@geindex Parent_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent_Type}@anchor{12ac}
+@deffn {Attribute} Parent_Type = 151
+@end deffn
+
+@geindex Simple_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Nature}@anchor{12ad}
+@deffn {Attribute} Simple_Nature = 152
+@end deffn
+
+@geindex Base_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Nature}@anchor{12ae}
+@deffn {Attribute} Base_Nature = 153
+@end deffn
+
+@geindex Resolution_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Indication}@anchor{12af}
+@deffn {Attribute} Resolution_Indication = 154
+@end deffn
+
+@geindex Record_Element_Resolution_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Record_Element_Resolution_Chain}@anchor{12b0}
+@deffn {Attribute} Record_Element_Resolution_Chain = 155
+@end deffn
+
+@geindex Tolerance (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Tolerance}@anchor{12b1}
+@deffn {Attribute} Tolerance = 156
+@end deffn
+
+@geindex Plus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal_Name}@anchor{12b2}
+@deffn {Attribute} Plus_Terminal_Name = 157
+@end deffn
+
+@geindex Minus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal_Name}@anchor{12b3}
+@deffn {Attribute} Minus_Terminal_Name = 158
+@end deffn
+
+@geindex Plus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal}@anchor{12b4}
+@deffn {Attribute} Plus_Terminal = 159
+@end deffn
+
+@geindex Minus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal}@anchor{12b5}
+@deffn {Attribute} Minus_Terminal = 160
+@end deffn
+
+@geindex Magnitude_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Magnitude_Expression}@anchor{12b6}
+@deffn {Attribute} Magnitude_Expression = 161
+@end deffn
+
+@geindex Phase_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Phase_Expression}@anchor{12b7}
+@deffn {Attribute} Phase_Expression = 162
+@end deffn
+
+@geindex Power_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Power_Expression}@anchor{12b8}
+@deffn {Attribute} Power_Expression = 163
+@end deffn
+
+@geindex Simultaneous_Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Left}@anchor{12b9}
+@deffn {Attribute} Simultaneous_Left = 164
+@end deffn
+
+@geindex Simultaneous_Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Right}@anchor{12ba}
+@deffn {Attribute} Simultaneous_Right = 165
+@end deffn
+
+@geindex Text_File_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Text_File_Flag}@anchor{12bb}
+@deffn {Attribute} Text_File_Flag = 166
+@end deffn
+
+@geindex Only_Characters_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Only_Characters_Flag}@anchor{12bc}
+@deffn {Attribute} Only_Characters_Flag = 167
+@end deffn
+
+@geindex Is_Character_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Character_Type}@anchor{12bd}
+@deffn {Attribute} Is_Character_Type = 168
+@end deffn
+
+@geindex Nature_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Staticness}@anchor{12be}
+@deffn {Attribute} Nature_Staticness = 169
+@end deffn
+
+@geindex Type_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Staticness}@anchor{12bf}
+@deffn {Attribute} Type_Staticness = 170
+@end deffn
+
+@geindex Constraint_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Constraint_State}@anchor{12c0}
+@deffn {Attribute} Constraint_State = 171
+@end deffn
+
+@geindex Index_Subtype_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_List}@anchor{12c1}
+@deffn {Attribute} Index_Subtype_List = 172
+@end deffn
+
+@geindex Index_Subtype_Definition_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_Definition_List}@anchor{12c2}
+@deffn {Attribute} Index_Subtype_Definition_List = 173
+@end deffn
+
+@geindex Element_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype_Indication}@anchor{12c3}
+@deffn {Attribute} Element_Subtype_Indication = 174
+@end deffn
+
+@geindex Element_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype}@anchor{12c4}
+@deffn {Attribute} Element_Subtype = 175
+@end deffn
+
+@geindex Element_Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature_Indication}@anchor{12c5}
+@deffn {Attribute} Element_Subnature_Indication = 176
+@end deffn
+
+@geindex Element_Subnature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature}@anchor{12c6}
+@deffn {Attribute} Element_Subnature = 177
+@end deffn
+
+@geindex Index_Constraint_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_List}@anchor{12c7}
+@deffn {Attribute} Index_Constraint_List = 178
+@end deffn
+
+@geindex Array_Element_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Array_Element_Constraint}@anchor{12c8}
+@deffn {Attribute} Array_Element_Constraint = 179
+@end deffn
+
+@geindex Has_Array_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Array_Constraint_Flag}@anchor{12c9}
+@deffn {Attribute} Has_Array_Constraint_Flag = 180
+@end deffn
+
+@geindex Has_Element_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Element_Constraint_Flag}@anchor{12ca}
+@deffn {Attribute} Has_Element_Constraint_Flag = 181
+@end deffn
+
+@geindex Elements_Declaration_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elements_Declaration_List}@anchor{12cb}
+@deffn {Attribute} Elements_Declaration_List = 182
+@end deffn
+
+@geindex Owned_Elements_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Owned_Elements_Chain}@anchor{12cc}
+@deffn {Attribute} Owned_Elements_Chain = 183
+@end deffn
+
+@geindex Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Type}@anchor{12cd}
+@deffn {Attribute} Designated_Type = 184
+@end deffn
+
+@geindex Designated_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Subtype_Indication}@anchor{12ce}
+@deffn {Attribute} Designated_Subtype_Indication = 185
+@end deffn
+
+@geindex Index_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_List}@anchor{12cf}
+@deffn {Attribute} Index_List = 186
+@end deffn
+
+@geindex Reference (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reference}@anchor{12d0}
+@deffn {Attribute} Reference = 187
+@end deffn
+
+@geindex Nature_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Declarator}@anchor{12d1}
+@deffn {Attribute} Nature_Declarator = 188
+@end deffn
+
+@geindex Across_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Mark}@anchor{12d2}
+@deffn {Attribute} Across_Type_Mark = 189
+@end deffn
+
+@geindex Through_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Mark}@anchor{12d3}
+@deffn {Attribute} Through_Type_Mark = 190
+@end deffn
+
+@geindex Across_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Definition}@anchor{12d4}
+@deffn {Attribute} Across_Type_Definition = 191
+@end deffn
+
+@geindex Through_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Definition}@anchor{12d5}
+@deffn {Attribute} Through_Type_Definition = 192
+@end deffn
+
+@geindex Across_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type}@anchor{12d6}
+@deffn {Attribute} Across_Type = 193
+@end deffn
+
+@geindex Through_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type}@anchor{12d7}
+@deffn {Attribute} Through_Type = 194
+@end deffn
+
+@geindex Target (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Target}@anchor{12d8}
+@deffn {Attribute} Target = 195
+@end deffn
+
+@geindex Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Waveform_Chain}@anchor{12d9}
+@deffn {Attribute} Waveform_Chain = 196
+@end deffn
+
+@geindex Guard (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard}@anchor{12da}
+@deffn {Attribute} Guard = 197
+@end deffn
+
+@geindex Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Delay_Mechanism}@anchor{12db}
+@deffn {Attribute} Delay_Mechanism = 198
+@end deffn
+
+@geindex Reject_Time_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reject_Time_Expression}@anchor{12dc}
+@deffn {Attribute} Reject_Time_Expression = 199
+@end deffn
+
+@geindex Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Force_Mode}@anchor{12dd}
+@deffn {Attribute} Force_Mode = 200
+@end deffn
+
+@geindex Has_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Force_Mode}@anchor{12de}
+@deffn {Attribute} Has_Force_Mode = 201
+@end deffn
+
+@geindex Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sensitivity_List}@anchor{12df}
+@deffn {Attribute} Sensitivity_List = 202
+@end deffn
+
+@geindex Process_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Process_Origin}@anchor{12e0}
+@deffn {Attribute} Process_Origin = 203
+@end deffn
+
+@geindex Package_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Origin}@anchor{12e1}
+@deffn {Attribute} Package_Origin = 204
+@end deffn
+
+@geindex Condition_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition_Clause}@anchor{12e2}
+@deffn {Attribute} Condition_Clause = 205
+@end deffn
+
+@geindex Break_Element (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Element}@anchor{12e3}
+@deffn {Attribute} Break_Element = 206
+@end deffn
+
+@geindex Selector_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selector_Quantity}@anchor{12e4}
+@deffn {Attribute} Selector_Quantity = 207
+@end deffn
+
+@geindex Break_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Quantity}@anchor{12e5}
+@deffn {Attribute} Break_Quantity = 208
+@end deffn
+
+@geindex Timeout_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Timeout_Clause}@anchor{12e6}
+@deffn {Attribute} Timeout_Clause = 209
+@end deffn
+
+@geindex Postponed_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Postponed_Flag}@anchor{12e7}
+@deffn {Attribute} Postponed_Flag = 210
+@end deffn
+
+@geindex Callees_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Callees_List}@anchor{12e8}
+@deffn {Attribute} Callees_List = 211
+@end deffn
+
+@geindex Passive_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Passive_Flag}@anchor{12e9}
+@deffn {Attribute} Passive_Flag = 212
+@end deffn
+
+@geindex Resolution_Function_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Function_Flag}@anchor{12ea}
+@deffn {Attribute} Resolution_Function_Flag = 213
+@end deffn
+
+@geindex Wait_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Wait_State}@anchor{12eb}
+@deffn {Attribute} Wait_State = 214
+@end deffn
+
+@geindex All_Sensitized_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields All_Sensitized_State}@anchor{12ec}
+@deffn {Attribute} All_Sensitized_State = 215
+@end deffn
+
+@geindex Seen_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Seen_Flag}@anchor{12ed}
+@deffn {Attribute} Seen_Flag = 216
+@end deffn
+
+@geindex Pure_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pure_Flag}@anchor{12ee}
+@deffn {Attribute} Pure_Flag = 217
+@end deffn
+
+@geindex Foreign_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Flag}@anchor{12ef}
+@deffn {Attribute} Foreign_Flag = 218
+@end deffn
+
+@geindex Resolved_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolved_Flag}@anchor{12f0}
+@deffn {Attribute} Resolved_Flag = 219
+@end deffn
+
+@geindex Signal_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Type_Flag}@anchor{12f1}
+@deffn {Attribute} Signal_Type_Flag = 220
+@end deffn
+
+@geindex Has_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signal_Flag}@anchor{12f2}
+@deffn {Attribute} Has_Signal_Flag = 221
+@end deffn
+
+@geindex Purity_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Purity_State}@anchor{12f3}
+@deffn {Attribute} Purity_State = 222
+@end deffn
+
+@geindex Elab_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elab_Flag}@anchor{12f4}
+@deffn {Attribute} Elab_Flag = 223
+@end deffn
+
+@geindex Vendor_Library_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vendor_Library_Flag}@anchor{12f5}
+@deffn {Attribute} Vendor_Library_Flag = 224
+@end deffn
+
+@geindex Configuration_Mark_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Mark_Flag}@anchor{12f6}
+@deffn {Attribute} Configuration_Mark_Flag = 225
+@end deffn
+
+@geindex Configuration_Done_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Done_Flag}@anchor{12f7}
+@deffn {Attribute} Configuration_Done_Flag = 226
+@end deffn
+
+@geindex Index_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_Flag}@anchor{12f8}
+@deffn {Attribute} Index_Constraint_Flag = 227
+@end deffn
+
+@geindex Hide_Implicit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hide_Implicit_Flag}@anchor{12f9}
+@deffn {Attribute} Hide_Implicit_Flag = 228
+@end deffn
+
+@geindex Assertion_Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Assertion_Condition}@anchor{12fa}
+@deffn {Attribute} Assertion_Condition = 229
+@end deffn
+
+@geindex Report_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Report_Expression}@anchor{12fb}
+@deffn {Attribute} Report_Expression = 230
+@end deffn
+
+@geindex Severity_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Severity_Expression}@anchor{12fc}
+@deffn {Attribute} Severity_Expression = 231
+@end deffn
+
+@geindex Instantiated_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiated_Unit}@anchor{12fd}
+@deffn {Attribute} Instantiated_Unit = 232
+@end deffn
+
+@geindex Generic_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Map_Aspect_Chain}@anchor{12fe}
+@deffn {Attribute} Generic_Map_Aspect_Chain = 233
+@end deffn
+
+@geindex Port_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Map_Aspect_Chain}@anchor{12ff}
+@deffn {Attribute} Port_Map_Aspect_Chain = 234
+@end deffn
+
+@geindex Configuration_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Name}@anchor{1300}
+@deffn {Attribute} Configuration_Name = 235
+@end deffn
+
+@geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Configuration}@anchor{1301}
+@deffn {Attribute} Component_Configuration = 236
+@end deffn
+
+@geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Specification}@anchor{1302}
+@deffn {Attribute} Configuration_Specification = 237
+@end deffn
+
+@geindex Default_Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Binding_Indication}@anchor{1303}
+@deffn {Attribute} Default_Binding_Indication = 238
+@end deffn
+
+@geindex Default_Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Configuration_Declaration}@anchor{1304}
+@deffn {Attribute} Default_Configuration_Declaration = 239
+@end deffn
+
+@geindex Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expression}@anchor{1305}
+@deffn {Attribute} Expression = 240
+@end deffn
+
+@geindex Conditional_Expression_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Expression_Chain}@anchor{1306}
+@deffn {Attribute} Conditional_Expression_Chain = 241
+@end deffn
+
+@geindex Allocator_Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Designated_Type}@anchor{1307}
+@deffn {Attribute} Allocator_Designated_Type = 242
+@end deffn
+
+@geindex Selected_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Waveform_Chain}@anchor{1308}
+@deffn {Attribute} Selected_Waveform_Chain = 243
+@end deffn
+
+@geindex Conditional_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Waveform_Chain}@anchor{1309}
+@deffn {Attribute} Conditional_Waveform_Chain = 244
+@end deffn
+
+@geindex Guard_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Expression}@anchor{130a}
+@deffn {Attribute} Guard_Expression = 245
+@end deffn
+
+@geindex Guard_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Decl}@anchor{130b}
+@deffn {Attribute} Guard_Decl = 246
+@end deffn
+
+@geindex Guard_Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Sensitivity_List}@anchor{130c}
+@deffn {Attribute} Guard_Sensitivity_List = 247
+@end deffn
+
+@geindex Signal_Attribute_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Chain}@anchor{130d}
+@deffn {Attribute} Signal_Attribute_Chain = 248
+@end deffn
+
+@geindex Block_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Block_Configuration}@anchor{130e}
+@deffn {Attribute} Block_Block_Configuration = 249
+@end deffn
+
+@geindex Package_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Header}@anchor{130f}
+@deffn {Attribute} Package_Header = 250
+@end deffn
+
+@geindex Block_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Header}@anchor{1310}
+@deffn {Attribute} Block_Header = 251
+@end deffn
+
+@geindex Uninstantiated_Package_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Name}@anchor{1311}
+@deffn {Attribute} Uninstantiated_Package_Name = 252
+@end deffn
+
+@geindex Uninstantiated_Package_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Decl}@anchor{1312}
+@deffn {Attribute} Uninstantiated_Package_Decl = 253
+@end deffn
+
+@geindex Instance_Source_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Source_File}@anchor{1313}
+@deffn {Attribute} Instance_Source_File = 254
+@end deffn
+
+@geindex Generate_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Block_Configuration}@anchor{1314}
+@deffn {Attribute} Generate_Block_Configuration = 255
+@end deffn
+
+@geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Statement_Body}@anchor{1315}
+@deffn {Attribute} Generate_Statement_Body = 256
+@end deffn
+
+@geindex Alternative_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alternative_Label}@anchor{1316}
+@deffn {Attribute} Alternative_Label = 257
+@end deffn
+
+@geindex Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Else_Clause}@anchor{1317}
+@deffn {Attribute} Generate_Else_Clause = 258
+@end deffn
+
+@geindex Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition}@anchor{1318}
+@deffn {Attribute} Condition = 259
+@end deffn
+
+@geindex Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Else_Clause}@anchor{1319}
+@deffn {Attribute} Else_Clause = 260
+@end deffn
+
+@geindex Parameter_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Specification}@anchor{131a}
+@deffn {Attribute} Parameter_Specification = 261
+@end deffn
+
+@geindex Parent (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent}@anchor{131b}
+@deffn {Attribute} Parent = 262
+@end deffn
+
+@geindex Loop_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Loop_Label}@anchor{131c}
+@deffn {Attribute} Loop_Label = 263
+@end deffn
+
+@geindex Exit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Exit_Flag}@anchor{131d}
+@deffn {Attribute} Exit_Flag = 264
+@end deffn
+
+@geindex Next_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Next_Flag}@anchor{131e}
+@deffn {Attribute} Next_Flag = 265
+@end deffn
+
+@geindex Component_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Name}@anchor{131f}
+@deffn {Attribute} Component_Name = 266
+@end deffn
+
+@geindex Instantiation_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiation_List}@anchor{1320}
+@deffn {Attribute} Instantiation_List = 267
+@end deffn
+
+@geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Aspect}@anchor{1321}
+@deffn {Attribute} Entity_Aspect = 268
+@end deffn
+
+@geindex Default_Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Entity_Aspect}@anchor{1322}
+@deffn {Attribute} Default_Entity_Aspect = 269
+@end deffn
+
+@geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Binding_Indication}@anchor{1323}
+@deffn {Attribute} Binding_Indication = 270
+@end deffn
+
+@geindex Named_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Named_Entity}@anchor{1324}
+@deffn {Attribute} Named_Entity = 271
+@end deffn
+
+@geindex Referenced_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Referenced_Name}@anchor{1325}
+@deffn {Attribute} Referenced_Name = 272
+@end deffn
+
+@geindex Expr_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expr_Staticness}@anchor{1326}
+@deffn {Attribute} Expr_Staticness = 273
+@end deffn
+
+@geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Scalar_Size}@anchor{1327}
+@deffn {Attribute} Scalar_Size = 274
+@end deffn
+
+@geindex Error_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Error_Origin}@anchor{1328}
+@deffn {Attribute} Error_Origin = 275
+@end deffn
+
+@geindex Operand (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Operand}@anchor{1329}
+@deffn {Attribute} Operand = 276
+@end deffn
+
+@geindex Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left}@anchor{132a}
+@deffn {Attribute} Left = 277
+@end deffn
+
+@geindex Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right}@anchor{132b}
+@deffn {Attribute} Right = 278
+@end deffn
+
+@geindex Unit_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Name}@anchor{132c}
+@deffn {Attribute} Unit_Name = 279
+@end deffn
+
+@geindex Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name}@anchor{132d}
+@deffn {Attribute} Name = 280
+@end deffn
+
+@geindex Group_Template_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Template_Name}@anchor{132e}
+@deffn {Attribute} Group_Template_Name = 281
+@end deffn
+
+@geindex Name_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name_Staticness}@anchor{132f}
+@deffn {Attribute} Name_Staticness = 282
+@end deffn
+
+@geindex Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prefix}@anchor{1330}
+@deffn {Attribute} Prefix = 283
+@end deffn
+
+@geindex Signature_Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signature_Prefix}@anchor{1331}
+@deffn {Attribute} Signature_Prefix = 284
+@end deffn
+
+@geindex External_Pathname (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields External_Pathname}@anchor{1332}
+@deffn {Attribute} External_Pathname = 285
+@end deffn
+
+@geindex Pathname_Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Suffix}@anchor{1333}
+@deffn {Attribute} Pathname_Suffix = 286
+@end deffn
+
+@geindex Pathname_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Expression}@anchor{1334}
+@deffn {Attribute} Pathname_Expression = 287
+@end deffn
+
+@geindex In_Formal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields In_Formal_Flag}@anchor{1335}
+@deffn {Attribute} In_Formal_Flag = 288
+@end deffn
+
+@geindex Slice_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Slice_Subtype}@anchor{1336}
+@deffn {Attribute} Slice_Subtype = 289
+@end deffn
+
+@geindex Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suffix}@anchor{1337}
+@deffn {Attribute} Suffix = 290
+@end deffn
+
+@geindex Index_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype}@anchor{1338}
+@deffn {Attribute} Index_Subtype = 291
+@end deffn
+
+@geindex Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter}@anchor{1339}
+@deffn {Attribute} Parameter = 292
+@end deffn
+
+@geindex Parameter_2 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_2}@anchor{133a}
+@deffn {Attribute} Parameter_2 = 293
+@end deffn
+
+@geindex Parameter_3 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_3}@anchor{133b}
+@deffn {Attribute} Parameter_3 = 294
+@end deffn
+
+@geindex Parameter_4 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_4}@anchor{133c}
+@deffn {Attribute} Parameter_4 = 295
+@end deffn
+
+@geindex Attr_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attr_Chain}@anchor{133d}
+@deffn {Attribute} Attr_Chain = 296
+@end deffn
+
+@geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Declaration}@anchor{133e}
+@deffn {Attribute} Signal_Attribute_Declaration = 297
+@end deffn
+
+@geindex Actual_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type}@anchor{133f}
+@deffn {Attribute} Actual_Type = 298
+@end deffn
+
+@geindex Actual_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type_Definition}@anchor{1340}
+@deffn {Attribute} Actual_Type_Definition = 299
+@end deffn
+
+@geindex Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Chain}@anchor{1341}
+@deffn {Attribute} Association_Chain = 300
+@end deffn
+
+@geindex Individual_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Individual_Association_Chain}@anchor{1342}
+@deffn {Attribute} Individual_Association_Chain = 301
+@end deffn
+
+@geindex Subprogram_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Association_Chain}@anchor{1343}
+@deffn {Attribute} Subprogram_Association_Chain = 302
+@end deffn
+
+@geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Info}@anchor{1344}
+@deffn {Attribute} Aggregate_Info = 303
+@end deffn
+
+@geindex Sub_Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sub_Aggregate_Info}@anchor{1345}
+@deffn {Attribute} Sub_Aggregate_Info = 304
+@end deffn
+
+@geindex Aggr_Dynamic_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Dynamic_Flag}@anchor{1346}
+@deffn {Attribute} Aggr_Dynamic_Flag = 305
+@end deffn
+
+@geindex Aggr_Min_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Min_Length}@anchor{1347}
+@deffn {Attribute} Aggr_Min_Length = 306
+@end deffn
+
+@geindex Aggr_Low_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Low_Limit}@anchor{1348}
+@deffn {Attribute} Aggr_Low_Limit = 307
+@end deffn
+
+@geindex Aggr_High_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_High_Limit}@anchor{1349}
+@deffn {Attribute} Aggr_High_Limit = 308
+@end deffn
+
+@geindex Aggr_Others_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Others_Flag}@anchor{134a}
+@deffn {Attribute} Aggr_Others_Flag = 309
+@end deffn
+
+@geindex Aggr_Named_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Named_Flag}@anchor{134b}
+@deffn {Attribute} Aggr_Named_Flag = 310
+@end deffn
+
+@geindex Aggregate_Expand_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Expand_Flag}@anchor{134c}
+@deffn {Attribute} Aggregate_Expand_Flag = 311
+@end deffn
+
+@geindex Association_Choices_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Choices_Chain}@anchor{134d}
+@deffn {Attribute} Association_Choices_Chain = 312
+@end deffn
+
+@geindex Case_Statement_Alternative_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Case_Statement_Alternative_Chain}@anchor{134e}
+@deffn {Attribute} Case_Statement_Alternative_Chain = 313
+@end deffn
+
+@geindex Choice_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Staticness}@anchor{134f}
+@deffn {Attribute} Choice_Staticness = 314
+@end deffn
+
+@geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Procedure_Call}@anchor{1350}
+@deffn {Attribute} Procedure_Call = 315
+@end deffn
+
+@geindex Implementation (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implementation}@anchor{1351}
+@deffn {Attribute} Implementation = 316
+@end deffn
+
+@geindex Parameter_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Association_Chain}@anchor{1352}
+@deffn {Attribute} Parameter_Association_Chain = 317
+@end deffn
+
+@geindex Method_Object (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Method_Object}@anchor{1353}
+@deffn {Attribute} Method_Object = 318
+@end deffn
+
+@geindex Subtype_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Type_Mark}@anchor{1354}
+@deffn {Attribute} Subtype_Type_Mark = 319
+@end deffn
+
+@geindex Subnature_Nature_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Nature_Mark}@anchor{1355}
+@deffn {Attribute} Subnature_Nature_Mark = 320
+@end deffn
+
+@geindex Type_Conversion_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Conversion_Subtype}@anchor{1356}
+@deffn {Attribute} Type_Conversion_Subtype = 321
+@end deffn
+
+@geindex Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Mark}@anchor{1357}
+@deffn {Attribute} Type_Mark = 322
+@end deffn
+
+@geindex File_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Type_Mark}@anchor{1358}
+@deffn {Attribute} File_Type_Mark = 323
+@end deffn
+
+@geindex Return_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type_Mark}@anchor{1359}
+@deffn {Attribute} Return_Type_Mark = 324
+@end deffn
+
+@geindex Has_Disconnect_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Disconnect_Flag}@anchor{135a}
+@deffn {Attribute} Has_Disconnect_Flag = 325
+@end deffn
+
+@geindex Has_Active_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Active_Flag}@anchor{135b}
+@deffn {Attribute} Has_Active_Flag = 326
+@end deffn
+
+@geindex Is_Within_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Within_Flag}@anchor{135c}
+@deffn {Attribute} Is_Within_Flag = 327
+@end deffn
+
+@geindex Type_Marks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Marks_List}@anchor{135d}
+@deffn {Attribute} Type_Marks_List = 328
+@end deffn
+
+@geindex Implicit_Alias_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Alias_Flag}@anchor{135e}
+@deffn {Attribute} Implicit_Alias_Flag = 329
+@end deffn
+
+@geindex Alias_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alias_Signature}@anchor{135f}
+@deffn {Attribute} Alias_Signature = 330
+@end deffn
+
+@geindex Attribute_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Signature}@anchor{1360}
+@deffn {Attribute} Attribute_Signature = 331
+@end deffn
+
+@geindex Overload_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_List}@anchor{1361}
+@deffn {Attribute} Overload_List = 332
+@end deffn
+
+@geindex Simple_Name_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Identifier}@anchor{1362}
+@deffn {Attribute} Simple_Name_Identifier = 333
+@end deffn
+
+@geindex Simple_Name_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Subtype}@anchor{1363}
+@deffn {Attribute} Simple_Name_Subtype = 334
+@end deffn
+
+@geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Body}@anchor{1364}
+@deffn {Attribute} Protected_Type_Body = 335
+@end deffn
+
+@geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Declaration}@anchor{1365}
+@deffn {Attribute} Protected_Type_Declaration = 336
+@end deffn
+
+@geindex Use_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Flag}@anchor{1366}
+@deffn {Attribute} Use_Flag = 337
+@end deffn
+
+@geindex End_Has_Reserved_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Reserved_Id}@anchor{1367}
+@deffn {Attribute} End_Has_Reserved_Id = 338
+@end deffn
+
+@geindex End_Has_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Identifier}@anchor{1368}
+@deffn {Attribute} End_Has_Identifier = 339
+@end deffn
+
+@geindex End_Has_Postponed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Postponed}@anchor{1369}
+@deffn {Attribute} End_Has_Postponed = 340
+@end deffn
+
+@geindex Has_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Label}@anchor{136a}
+@deffn {Attribute} Has_Label = 341
+@end deffn
+
+@geindex Has_Begin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Begin}@anchor{136b}
+@deffn {Attribute} Has_Begin = 342
+@end deffn
+
+@geindex Has_End (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_End}@anchor{136c}
+@deffn {Attribute} Has_End = 343
+@end deffn
+
+@geindex Has_Is (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Is}@anchor{136d}
+@deffn {Attribute} Has_Is = 344
+@end deffn
+
+@geindex Has_Pure (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Pure}@anchor{136e}
+@deffn {Attribute} Has_Pure = 345
+@end deffn
+
+@geindex Has_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Body}@anchor{136f}
+@deffn {Attribute} Has_Body = 346
+@end deffn
+
+@geindex Has_Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Parameter}@anchor{1370}
+@deffn {Attribute} Has_Parameter = 347
+@end deffn
+
+@geindex Has_Component (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Component}@anchor{1371}
+@deffn {Attribute} Has_Component = 348
+@end deffn
+
+@geindex Has_Identifier_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Identifier_List}@anchor{1372}
+@deffn {Attribute} Has_Identifier_List = 349
+@end deffn
+
+@geindex Has_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Mode}@anchor{1373}
+@deffn {Attribute} Has_Mode = 350
+@end deffn
+
+@geindex Has_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Class}@anchor{1374}
+@deffn {Attribute} Has_Class = 351
+@end deffn
+
+@geindex Has_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Delay_Mechanism}@anchor{1375}
+@deffn {Attribute} Has_Delay_Mechanism = 352
+@end deffn
+
+@geindex Suspend_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suspend_Flag}@anchor{1376}
+@deffn {Attribute} Suspend_Flag = 353
+@end deffn
+
+@geindex Is_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Ref}@anchor{1377}
+@deffn {Attribute} Is_Ref = 354
+@end deffn
+
+@geindex Is_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Forward_Ref}@anchor{1378}
+@deffn {Attribute} Is_Forward_Ref = 355
+@end deffn
+
+@geindex Psl_Property (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Property}@anchor{1379}
+@deffn {Attribute} Psl_Property = 356
+@end deffn
+
+@geindex Psl_Sequence (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Sequence}@anchor{137a}
+@deffn {Attribute} Psl_Sequence = 357
+@end deffn
+
+@geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Declaration}@anchor{137b}
+@deffn {Attribute} Psl_Declaration = 358
+@end deffn
+
+@geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Expression}@anchor{137c}
+@deffn {Attribute} Psl_Expression = 359
+@end deffn
+
+@geindex Psl_Boolean (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Boolean}@anchor{137d}
+@deffn {Attribute} Psl_Boolean = 360
+@end deffn
+
+@geindex PSL_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock}@anchor{137e}
+@deffn {Attribute} PSL_Clock = 361
+@end deffn
+
+@geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_NFA}@anchor{137f}
+@deffn {Attribute} PSL_NFA = 362
+@end deffn
+
+@geindex PSL_Nbr_States (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Nbr_States}@anchor{1380}
+@deffn {Attribute} PSL_Nbr_States = 363
+@end deffn
+
+@geindex PSL_Clock_Sensitivity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock_Sensitivity}@anchor{1381}
+@deffn {Attribute} PSL_Clock_Sensitivity = 364
+@end deffn
+
+@geindex PSL_EOS_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_EOS_Flag}@anchor{1382}
+@deffn {Attribute} PSL_EOS_Flag = 365
+@end deffn
+
+@geindex Count_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Count_Expression}@anchor{1383}
+@deffn {Attribute} Count_Expression = 366
+@end deffn
+
+@geindex Clock_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Clock_Expression}@anchor{1384}
+@deffn {Attribute} Clock_Expression = 367
+@end deffn
+
+@geindex Default_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Clock}@anchor{1385}
+@deffn {Attribute} Default_Clock = 368
+@end deffn
+
+@geindex Foreign_Node (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Node}@anchor{1386}
+@deffn {Attribute} Foreign_Node = 369
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex get_fields_first() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_first}@anchor{1074}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_first (K)
+
+
+Return the list of fields for node @code{K}.
+
+In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
+this array access, the API provides @code{get_fields_first} and @ref{1075,,get_fields_last()}.
+
+The fields are sorted: first the non nodes/list of nodes, then the
+nodes/lists that aren’t reference, and then the reference.
+
+@*Parameters:
+@code{K} (@code{~IirKind}) – Node to get first array index from.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex get_fields_last() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_last}@anchor{1075}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_last (K)
+
+
+Return the list of fields for node @code{K}.
+
+In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
+this array access, the API provides @ref{1074,,get_fields_first()} and @code{get_fields_last}.
+
+The fields are sorted: first the non nodes/list of nodes, then the
+nodes/lists that aren’t reference, and then the reference.
+
+@*Parameters:
+@code{K} (@code{~IirKind}) – Node to get last array index from.
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex get_field_by_index() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_by_index}@anchor{1076}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_by_index (K)
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex get_field_type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_type}@anchor{1077}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_type (*args)
+
+@end deffn
+
+@geindex get_field_attribute() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_attribute}@anchor{1078}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_attribute (*args)
+
+@end deffn
+
+@geindex Has_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_First_Design_Unit}@anchor{1079}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_First_Design_Unit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Last_Design_Unit}@anchor{107a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Last_Design_Unit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Declaration}@anchor{107b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Checksum}@anchor{107c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Checksum (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Time_Stamp}@anchor{107d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Time_Stamp (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Source}@anchor{107e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Source (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Library() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library}@anchor{107f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Dependence_List}@anchor{1080}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Dependence_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Filename}@anchor{1081}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Filename (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Directory}@anchor{1082}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Directory (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File}@anchor{1083}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Chain}@anchor{1084}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Directory}@anchor{1085}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Directory (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Date() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date}@anchor{1086}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Items}@anchor{1087}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Items (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Dependence_List}@anchor{1088}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Dependence_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Checks_List}@anchor{1089}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Checks_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Date_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date_State}@anchor{108a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date_State (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Target_State}@anchor{108b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Target_State (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Unit}@anchor{108c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Unit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hash_Chain}@anchor{108d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hash_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Pos}@anchor{108e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Pos (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Line}@anchor{108f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Line (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Col}@anchor{1090}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Col (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value}@anchor{1091}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enum_Pos}@anchor{1092}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enum_Pos (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Physical_Literal}@anchor{1093}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Physical_Literal (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Fp_Value}@anchor{1094}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Fp_Value (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Aggregate_List}@anchor{1095}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Aggregate_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String8_Id}@anchor{1096}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String8_Id (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_String_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String_Length}@anchor{1097}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String_Length (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bit_String_Base}@anchor{1098}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bit_String_Base (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signed}@anchor{1099}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signed (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Sign}@anchor{109a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Sign (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Length}@anchor{109b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Length (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Length}@anchor{109c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Length (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Origin}@anchor{109d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Origin (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Origin}@anchor{109e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Origin (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Subtype}@anchor{109f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Subtype}@anchor{10a0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class}@anchor{10a1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name_List}@anchor{10a2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Designator}@anchor{10a3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Designator (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification_Chain}@anchor{10a4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification}@anchor{10a5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Static_Attribute_Flag}@anchor{10a6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Static_Attribute_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_List}@anchor{10a7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Quantity_List}@anchor{10a8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Quantity_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Entity}@anchor{10a9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Entity (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Formal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal}@anchor{10aa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Actual() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual}@anchor{10ab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Conversion}@anchor{10ac}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Conversion (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal_Conversion}@anchor{10ad}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal_Conversion (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Whole_Association_Flag}@anchor{10ae}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Whole_Association_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Collapse_Signal_Flag}@anchor{10af}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Collapse_Signal_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Artificial_Flag}@anchor{10b0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Artificial_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Flag}@anchor{10b1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_After_Drivers_Flag}@anchor{10b2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_After_Drivers_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_We_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_We_Value}@anchor{10b3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_We_Value (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Time() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Time}@anchor{10b4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Time (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Expr}@anchor{10b5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Expr (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Block}@anchor{10b6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Block (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Chain}@anchor{10b7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Name}@anchor{10b8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Expression}@anchor{10b9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Range}@anchor{10ba}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Range (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Same_Alternative_Flag}@anchor{10bb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Same_Alternative_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Type_Flag}@anchor{10bc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Type_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Architecture() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Architecture}@anchor{10bd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Architecture (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Specification}@anchor{10be}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Specification (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prev_Block_Configuration}@anchor{10bf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prev_Block_Configuration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Item_Chain}@anchor{10c0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Item_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Chain}@anchor{10c1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Spec_Chain}@anchor{10c2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Spec_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value_Chain}@anchor{10c3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Spec_Chain}@anchor{10c4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Spec_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name}@anchor{10c5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Package() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package}@anchor{10c6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Body}@anchor{10c7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Package_Body}@anchor{10c8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Package_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Body}@anchor{10c9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Macro_Expanded_Flag}@anchor{10ca}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Macro_Expanded_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Instance_Bodies}@anchor{10cb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Instance_Bodies (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hierarchical_Name}@anchor{10cc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hierarchical_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inherit_Spec_Chain}@anchor{10cd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Inherit_Spec_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vunit_Item_Chain}@anchor{10ce}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vunit_Item_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bound_Vunit_Chain}@anchor{10cf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bound_Vunit_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Verification_Block_Configuration}@anchor{10d0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Verification_Block_Configuration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Configuration}@anchor{10d1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Configuration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Concurrent_Statement_Chain}@anchor{10d2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Concurrent_Statement_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Chain}@anchor{10d3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Chain}@anchor{10d4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Chain}@anchor{10d5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type}@anchor{10d6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Indication}@anchor{10d7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Discrete_Range}@anchor{10d8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Discrete_Range (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Definition}@anchor{10d9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Definition}@anchor{10da}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Declaration}@anchor{10db}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Subprograms}@anchor{10dc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Subprograms (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Definition}@anchor{10dd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature}@anchor{10de}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Indication}@anchor{10df}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Mode}@anchor{10e0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Mode (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Signal_Flag}@anchor{10e1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Signal_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Kind}@anchor{10e2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Kind (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Name}@anchor{10e3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Declaration_Chain}@anchor{10e4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Declaration_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Specification}@anchor{10e5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Specification (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sequential_Statement_Chain}@anchor{10e6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sequential_Statement_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Statement_Chain}@anchor{10e7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Statement_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Body}@anchor{10e8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_Number}@anchor{10e9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_Number (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Depth}@anchor{10ea}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Depth (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Hash}@anchor{10eb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Hash (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Impure_Depth}@anchor{10ec}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Impure_Depth (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type}@anchor{10ed}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Definition}@anchor{10ee}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Subprogram_Name}@anchor{10ef}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Subprogram_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Value}@anchor{10f0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Value (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration}@anchor{10f1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration_Flag}@anchor{10f2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Shared_Flag}@anchor{10f3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Shared_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit}@anchor{10f4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Statement}@anchor{10f5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Statement (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Driver}@anchor{10f6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Driver (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Declaration_Chain}@anchor{10f7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Declaration_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Logical_Name}@anchor{10f8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Logical_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Open_Kind}@anchor{10f9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Open_Kind (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Position}@anchor{10fa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Position (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Clause_Chain}@anchor{10fb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Clause_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Reference_Chain}@anchor{10fc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Reference_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Name}@anchor{10fd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Declarator}@anchor{10fe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Declarator (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Complete_Type_Definition}@anchor{10ff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Complete_Type_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Ref_Chain}@anchor{1100}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Ref_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Type}@anchor{1101}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enumeration_Literal_List}@anchor{1102}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enumeration_Literal_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class_Entry_Chain}@anchor{1103}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class_Entry_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Constituent_List}@anchor{1104}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Constituent_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Chain}@anchor{1105}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Primary_Unit}@anchor{1106}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Primary_Unit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Identifier}@anchor{1107}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Identifier (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Label}@anchor{1108}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Label (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Visible_Flag}@anchor{1109}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Visible_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Constraint}@anchor{110a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Constraint (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Direction() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Direction}@anchor{110b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Direction (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit}@anchor{110c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit}@anchor{110d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit_Expr}@anchor{110e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit_Expr (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit_Expr}@anchor{110f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit_Expr (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent_Type}@anchor{1110}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Nature}@anchor{1111}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Nature (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Nature}@anchor{1112}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Nature (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Indication}@anchor{1113}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Record_Element_Resolution_Chain}@anchor{1114}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Record_Element_Resolution_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Tolerance}@anchor{1115}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Tolerance (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal_Name}@anchor{1116}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal_Name}@anchor{1117}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal}@anchor{1118}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal}@anchor{1119}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Magnitude_Expression}@anchor{111a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Magnitude_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Phase_Expression}@anchor{111b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Phase_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Power_Expression}@anchor{111c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Power_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Left}@anchor{111d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Left (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Right}@anchor{111e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Right (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Text_File_Flag}@anchor{111f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Text_File_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Only_Characters_Flag}@anchor{1120}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Only_Characters_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Character_Type}@anchor{1121}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Character_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Staticness}@anchor{1122}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Staticness (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Staticness}@anchor{1123}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Staticness (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Constraint_State}@anchor{1124}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Constraint_State (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_List}@anchor{1125}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_Definition_List}@anchor{1126}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_Definition_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype_Indication}@anchor{1127}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype}@anchor{1128}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature_Indication}@anchor{1129}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature}@anchor{112a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_List}@anchor{112b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Array_Element_Constraint}@anchor{112c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Array_Element_Constraint (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Array_Constraint_Flag}@anchor{112d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Array_Constraint_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Element_Constraint_Flag}@anchor{112e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Element_Constraint_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elements_Declaration_List}@anchor{112f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elements_Declaration_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Owned_Elements_Chain}@anchor{1130}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Owned_Elements_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Type}@anchor{1131}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Subtype_Indication}@anchor{1132}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Subtype_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Index_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_List}@anchor{1133}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Reference() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference}@anchor{1134}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Declarator}@anchor{1135}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Declarator (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Mark}@anchor{1136}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Mark}@anchor{1137}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Definition}@anchor{1138}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Definition}@anchor{1139}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type}@anchor{113a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type}@anchor{113b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Target() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Target}@anchor{113c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Target (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Waveform_Chain}@anchor{113d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Waveform_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Guard() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard}@anchor{113e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Delay_Mechanism}@anchor{113f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Delay_Mechanism (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reject_Time_Expression}@anchor{1140}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reject_Time_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Force_Mode}@anchor{1141}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Force_Mode (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Force_Mode}@anchor{1142}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Force_Mode (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sensitivity_List}@anchor{1143}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sensitivity_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Process_Origin}@anchor{1144}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Process_Origin (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Origin}@anchor{1145}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Origin (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition_Clause}@anchor{1146}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition_Clause (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Element}@anchor{1147}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Element (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selector_Quantity}@anchor{1148}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selector_Quantity (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Quantity}@anchor{1149}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Quantity (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Timeout_Clause}@anchor{114a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Timeout_Clause (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Postponed_Flag}@anchor{114b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Postponed_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Callees_List}@anchor{114c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Callees_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Passive_Flag}@anchor{114d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Passive_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Function_Flag}@anchor{114e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Function_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Wait_State}@anchor{114f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Wait_State (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_All_Sensitized_State}@anchor{1150}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_All_Sensitized_State (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Seen_Flag}@anchor{1151}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Seen_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pure_Flag}@anchor{1152}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pure_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Flag}@anchor{1153}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolved_Flag}@anchor{1154}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolved_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Type_Flag}@anchor{1155}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Type_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signal_Flag}@anchor{1156}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signal_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Purity_State}@anchor{1157}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Purity_State (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elab_Flag}@anchor{1158}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elab_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vendor_Library_Flag}@anchor{1159}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vendor_Library_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Mark_Flag}@anchor{115a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Mark_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Done_Flag}@anchor{115b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Done_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_Flag}@anchor{115c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hide_Implicit_Flag}@anchor{115d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hide_Implicit_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Assertion_Condition}@anchor{115e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Assertion_Condition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Report_Expression}@anchor{115f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Report_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Severity_Expression}@anchor{1160}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Severity_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Unit}@anchor{1161}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Unit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Map_Aspect_Chain}@anchor{1162}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Map_Aspect_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Map_Aspect_Chain}@anchor{1163}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Map_Aspect_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Name}@anchor{1164}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Configuration}@anchor{1165}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Configuration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Specification}@anchor{1166}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Specification (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Binding_Indication}@anchor{1167}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Binding_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Configuration_Declaration}@anchor{1168}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Configuration_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expression}@anchor{1169}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Expression_Chain}@anchor{116a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Expression_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Designated_Type}@anchor{116b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Designated_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Waveform_Chain}@anchor{116c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Waveform_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Waveform_Chain}@anchor{116d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Waveform_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Expression}@anchor{116e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Decl}@anchor{116f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Decl (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Sensitivity_List}@anchor{1170}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Sensitivity_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Chain}@anchor{1171}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Block_Configuration}@anchor{1172}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Block_Configuration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Header}@anchor{1173}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Header (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Header}@anchor{1174}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Header (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Name}@anchor{1175}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Decl}@anchor{1176}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Decl (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Source_File}@anchor{1177}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Source_File (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Block_Configuration}@anchor{1178}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Block_Configuration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Statement_Body}@anchor{1179}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Statement_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alternative_Label}@anchor{117a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alternative_Label (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Else_Clause}@anchor{117b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Else_Clause (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition}@anchor{117c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Else_Clause}@anchor{117d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Else_Clause (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Specification}@anchor{117e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Specification (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parent() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent}@anchor{117f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Loop_Label}@anchor{1180}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Loop_Label (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Exit_Flag}@anchor{1181}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Exit_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Next_Flag}@anchor{1182}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Next_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Name}@anchor{1183}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiation_List}@anchor{1184}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiation_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Aspect}@anchor{1185}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Aspect (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Entity_Aspect}@anchor{1186}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Entity_Aspect (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Binding_Indication}@anchor{1187}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Binding_Indication (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Named_Entity}@anchor{1188}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Named_Entity (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Referenced_Name}@anchor{1189}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Referenced_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expr_Staticness}@anchor{118a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expr_Staticness (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Scalar_Size}@anchor{118b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Scalar_Size (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Error_Origin}@anchor{118c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Error_Origin (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Operand() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Operand}@anchor{118d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Operand (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left}@anchor{118e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right}@anchor{118f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Name}@anchor{1190}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name}@anchor{1191}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Template_Name}@anchor{1192}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Template_Name (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name_Staticness}@anchor{1193}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name_Staticness (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prefix}@anchor{1194}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prefix (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signature_Prefix}@anchor{1195}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signature_Prefix (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_External_Pathname}@anchor{1196}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_External_Pathname (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Suffix}@anchor{1197}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Suffix (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Expression}@anchor{1198}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_In_Formal_Flag}@anchor{1199}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_In_Formal_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Slice_Subtype}@anchor{119a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Slice_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suffix}@anchor{119b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suffix (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype}@anchor{119c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter}@anchor{119d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_2}@anchor{119e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_2 (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_3}@anchor{119f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_3 (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_4}@anchor{11a0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_4 (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attr_Chain}@anchor{11a1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attr_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Declaration}@anchor{11a2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type}@anchor{11a3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type_Definition}@anchor{11a4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type_Definition (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Chain}@anchor{11a5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Individual_Association_Chain}@anchor{11a6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Individual_Association_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Association_Chain}@anchor{11a7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Association_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Info}@anchor{11a8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Info (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sub_Aggregate_Info}@anchor{11a9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sub_Aggregate_Info (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Dynamic_Flag}@anchor{11aa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Dynamic_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Min_Length}@anchor{11ab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Min_Length (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Low_Limit}@anchor{11ac}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Low_Limit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_High_Limit}@anchor{11ad}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_High_Limit (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Others_Flag}@anchor{11ae}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Others_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Named_Flag}@anchor{11af}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Named_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Expand_Flag}@anchor{11b0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Expand_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Choices_Chain}@anchor{11b1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Choices_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Case_Statement_Alternative_Chain}@anchor{11b2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Case_Statement_Alternative_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Staticness}@anchor{11b3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Staticness (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Procedure_Call}@anchor{11b4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Procedure_Call (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Implementation() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implementation}@anchor{11b5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implementation (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Association_Chain}@anchor{11b6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Association_Chain (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Method_Object}@anchor{11b7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Method_Object (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Type_Mark}@anchor{11b8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Type_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Nature_Mark}@anchor{11b9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Nature_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Conversion_Subtype}@anchor{11ba}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Conversion_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Mark}@anchor{11bb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Type_Mark}@anchor{11bc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Type_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type_Mark}@anchor{11bd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type_Mark (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Disconnect_Flag}@anchor{11be}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Disconnect_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Active_Flag}@anchor{11bf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Active_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Within_Flag}@anchor{11c0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Within_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Marks_List}@anchor{11c1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Marks_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Alias_Flag}@anchor{11c2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Alias_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alias_Signature}@anchor{11c3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alias_Signature (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Signature}@anchor{11c4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Signature (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_List}@anchor{11c5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Identifier}@anchor{11c6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Identifier (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Subtype}@anchor{11c7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Subtype (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Body}@anchor{11c8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Declaration}@anchor{11c9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Flag}@anchor{11ca}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Reserved_Id}@anchor{11cb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Reserved_Id (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Identifier}@anchor{11cc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Identifier (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Postponed}@anchor{11cd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Postponed (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Label}@anchor{11ce}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Label (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Begin}@anchor{11cf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Begin (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_End() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_End}@anchor{11d0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_End (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Is}@anchor{11d1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Is (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Pure}@anchor{11d2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Pure (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Body}@anchor{11d3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Body (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Parameter}@anchor{11d4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Parameter (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Component}@anchor{11d5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Component (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Identifier_List}@anchor{11d6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Identifier_List (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Mode}@anchor{11d7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Mode (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Class}@anchor{11d8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Class (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Delay_Mechanism}@anchor{11d9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Delay_Mechanism (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_Flag}@anchor{11da}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Ref}@anchor{11db}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Ref (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Forward_Ref}@anchor{11dc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Forward_Ref (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Property}@anchor{11dd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Property (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Sequence}@anchor{11de}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Sequence (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Declaration}@anchor{11df}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Declaration (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Expression}@anchor{11e0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Boolean}@anchor{11e1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Boolean (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock}@anchor{11e2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_NFA}@anchor{11e3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_NFA (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Nbr_States}@anchor{11e4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Nbr_States (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock_Sensitivity}@anchor{11e5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock_Sensitivity (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_EOS_Flag}@anchor{11e6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_EOS_Flag (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Count_Expression}@anchor{11e7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Count_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Clock_Expression}@anchor{11e8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Clock_Expression (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Clock}@anchor{11e9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Clock (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Node}@anchor{11ea}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Node (kind)
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils doc}@anchor{1387}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils module-pyGHDL libghdl vhdl nodes_utils}@anchor{2d}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyghdl-libghdl-vhdl-nodes-utils}@anchor{1388}
+@subsubsection pyGHDL.libghdl.vhdl.nodes_utils
+
+
+@geindex module; pyGHDL.libghdl.vhdl.nodes_utils
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1389,,Strip_Denoting_Name()}:
+If @code{Name} is a simple or an expanded name, return the denoted declaration.
+
+@item
+@ref{138a,,Get_Entity()}:
+This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
+
+@item
+@ref{138b,,Is_Second_Subprogram_Specification()}:
+Check if @code{Spec} is the subprogram specification of a subprogram body
+
+@item
+@ref{138c,,Get_Entity_From_Entity_Aspect()}:
+Extract the entity from @code{Aspect}.
+
+@item
+@ref{138d,,Get_Interface_Of_Formal()}:
+Get the interface corresponding to the formal name @code{Formal}. This is
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Strip_Denoting_Name() (in module pyGHDL.libghdl.vhdl.nodes_utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Strip_Denoting_Name}@anchor{1389}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Strip_Denoting_Name (Name)
+
+
+If @code{Name} is a simple or an expanded name, return the denoted declaration.
+Otherwise, return @code{Name}.
+
+@*Parameters:
+@code{Name} (@code{~Iir}) – Simple or an expanded name.
+
+
+@*Return type:
+~Iir
+
+
+@*Returns:
+Denoted declaration.
+
+@end deffn
+
+@geindex Get_Entity() (in module pyGHDL.libghdl.vhdl.nodes_utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity}@anchor{138a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity (Decl)
+
+
+This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
+of the entity name of @code{Decl}, or @code{Null_Iir} in case of error.
+
+@*Parameters:
+@code{Decl} (@code{~Iir}) – Declaration
+
+
+@*Return type:
+~Iir
+
+
+@*Returns:
+Entity
+
+@end deffn
+
+@geindex Is_Second_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Is_Second_Subprogram_Specification}@anchor{138b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Is_Second_Subprogram_Specification (Spec)
+
+
+Check if @code{Spec} is the subprogram specification of a subprogram body
+which was previously declared. In that case, the only use of @code{Spec}
+is to match the body with its declaration.
+
+@*Parameters:
+@code{Spec} (@code{~Iir}) – Specification
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+
+@*Returns:
+@code{True} if subprogram specification and previously declared subprogram body match
+
+@end deffn
+
+@geindex Get_Entity_From_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity_From_Entity_Aspect}@anchor{138c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity_From_Entity_Aspect (Aspect)
+
+
+Extract the entity from @code{Aspect}.
+
+If @code{Aspect} is a component declaration, return @code{Aspect}. If it’s
+open, return @code{Null_Iir}
+
+@*Parameters:
+@code{Aspect} (@code{~Iir}) – Aspect
+
+
+@*Return type:
+~Iir
+
+
+@*Returns:
+Entity
+
+@end deffn
+
+@geindex Get_Interface_Of_Formal() (in module pyGHDL.libghdl.vhdl.nodes_utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Interface_Of_Formal}@anchor{138d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Interface_Of_Formal (Formal)
+
+
+Get the interface corresponding to the formal name @code{Formal}. This is
+always an interface, even if the formal is a name.
+
+@*Parameters:
+@code{Formal} (@code{~Iir}) – The formal.
+
+
+@*Return type:
+~Iir
+
+
+@*Returns:
+The corresponding interface.
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse doc}@anchor{138e}@anchor{pyGHDL/pyGHDL libghdl vhdl parse module-pyGHDL libghdl vhdl parse}@anchor{2e}@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyghdl-libghdl-vhdl-parse}@anchor{138f}
+@subsubsection pyGHDL.libghdl.vhdl.parse
+
+
+@geindex module; pyGHDL.libghdl.vhdl.parse
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{1390,,Flag_Parse_Parenthesis}
+@end itemize
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1391,,Parse_Design_File()}:
+Parse a file.
+@end itemize
+
+@geindex Flag_Parse_Parenthesis (in module pyGHDL.libghdl.vhdl.parse)
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Flag_Parse_Parenthesis}@anchor{1390}
+@deffn {Data} pyGHDL.libghdl.vhdl.parse.Flag_Parse_Parenthesis
@example
-ghdl --help
-ghdl -h
-ghdl -h command
+c_bool(False)
@end example
+@end deffn
-@geindex cmd display configuration
+@c #-----------------------------------
-@node Display config [--disp-config],Display standard [--disp-standard],Help [-h],Misc commands
-@anchor{references/CommandReference display-config-disp-config}@anchor{102}
-@subsection Display config [@code{--disp-config}]
+@strong{Functions}
+@geindex Parse_Design_File() (in module pyGHDL.libghdl.vhdl.parse)
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Parse_Design_File}@anchor{1391}
+@deffn {Function} pyGHDL.libghdl.vhdl.parse.Parse_Design_File ()
-@geindex ghdl command line option; --disp-config <[options]>
-@anchor{references/CommandReference cmdoption-ghdl-disp-config}@anchor{35}
-@deffn {Option} @w{-}@w{-}disp@w{-}config <[options]>
-@end deffn
-Display the program paths and options used by GHDL. This may be useful to track installation errors.
+Parse a file.
-@geindex cmd display standard
+..note:: The scanner must have been initialized as for parse_design_unit.
-@geindex display `@w{`}std.standard`@w{`}
+@*Return type:
+~Iir
-@node Display standard [--disp-standard],Version [--version],Display config [--disp-config],Misc commands
-@anchor{references/CommandReference display-standard-disp-standard}@anchor{103}
-@subsection Display standard [@code{--disp-standard}]
+@*Returns:
+Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
-@geindex ghdl command line option; --disp-standard <[options]>
-@anchor{references/CommandReference cmdoption-ghdl-disp-standard}@anchor{104}
-@deffn {Option} @w{-}@w{-}disp@w{-}standard <[options]>
@end deffn
-Display the @code{std.standard} package.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex cmd version
+@c This data file has been placed in the public domain.
-@node Version [--version],,Display standard [--disp-standard],Misc commands
-@anchor{references/CommandReference version-version}@anchor{105}
-@subsection Version [@code{--version}]
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem doc}@anchor{1392}@anchor{pyGHDL/pyGHDL libghdl vhdl sem module-pyGHDL libghdl vhdl sem}@anchor{2f}@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyghdl-libghdl-vhdl-sem}@anchor{1393}
+@subsubsection pyGHDL.libghdl.vhdl.sem
+
+
+@geindex module; pyGHDL.libghdl.vhdl.sem
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1394,,Semantic()}:
+Do the semantic analysis of design unit @code{DesignUnit}.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Semantic() (in module pyGHDL.libghdl.vhdl.sem)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyGHDL libghdl vhdl sem Semantic}@anchor{1394}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem.Semantic (DesignUnit)
+
+
+Do the semantic analysis of design unit @code{DesignUnit}.
+
+Also add a few node or change some nodes, when for example an identifier is
+changed into an access to the type.
+
+@*Parameters:
+@code{DesignUnit} (@code{~Iir_Design_Unit}) – Design unit to semantically analyze. Type: @code{Iir_Design_Unit}
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-@geindex ghdl command line option; --version@comma{} -v
-@anchor{references/CommandReference cmdoption-ghdl-version}@anchor{106}
-@deffn {Option} @w{-}@w{-}version, @w{-}v
@end deffn
-Display the GHDL version.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@node File commands,GCC/LLVM only commands,Misc commands,Command Reference
-@anchor{references/CommandReference file-commands}@anchor{107}
-@section File commands
+@c This data file has been placed in the public domain.
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-The following commands act on one or several files. These are not analyzed, therefore, they work even if a file has semantic errors.
+@c This data file has been placed in the public domain.
-@geindex cmd file pretty printing
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@geindex vhdl to html
+@c # define a hard line break for HTML
-@menu
-* Pretty print [--pp-html]::
-* Find [-f]::
-* Chop [--chop]::
-* Lines [--lines]::
+@node pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib doc}@anchor{1395}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib module-pyGHDL libghdl vhdl sem_lib}@anchor{30}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyghdl-libghdl-vhdl-sem-lib}@anchor{1396}
+@subsubsection pyGHDL.libghdl.vhdl.sem_lib
-@end menu
-@node Pretty print [--pp-html],Find [-f],,File commands
-@anchor{references/CommandReference pretty-print-pp-html}@anchor{108}
-@subsection Pretty print [@code{--pp-html}]
+@geindex module; pyGHDL.libghdl.vhdl.sem_lib
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1397,,Load_File()}:
+Start to analyse a file (i.e. load and parse it).
+
+@item
+@ref{1398,,Finish_Compilation()}:
+Analyze @code{Unit}.
+
+@item
+@ref{1399,,Free_Dependence_List()}:
+Free the dependence list of @code{Design}.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Load_File() (in module pyGHDL.libghdl.vhdl.sem_lib)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Load_File}@anchor{1397}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Load_File (File)
+
+
+Start to analyse a file (i.e. load and parse it).
+
+@*Parameters:
+@code{File} (@code{~SourceFileEntry}) – File to analyse.
+
+
+@*Return type:
+~Iir_Design_File
+
+
+@*Returns:
+Return @code{Null_Iir} in case of parse error. Type: @code{Iir_Design_File}
-@geindex ghdl command line option; --pp-html <[options] file...>
-@anchor{references/CommandReference cmdoption-ghdl-pp-html}@anchor{109}
-@deffn {Option} @w{-}@w{-}pp@w{-}html <[options] file...>
@end deffn
-The files are just scanned and an html file with syntax highlighting is generated on standard output. Since the files are not even parsed, erroneous files or incomplete designs can be pretty printed.
+@geindex Finish_Compilation() (in module pyGHDL.libghdl.vhdl.sem_lib)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Finish_Compilation}@anchor{1398}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Finish_Compilation (Unit, Main=False)
+
-The style of the html file can be modified with the @code{--format=} option:
+Analyze @code{Unit}.
+@*Parameters:
@itemize *
-@item
-By default or when the @code{--format=html2} option is specified, the output is an HTML 2.0 file, with colours set through @cite{<FONT>} tags.
+@item
+@code{Unit} (@code{~Iir_Design_Unit}) – Design unit to analyze.
-@item
-When the @code{--format=css} option is specified, the output is an HTML 4.0 file, with colours set through a CSS file, whose name is @code{ghdl.css}. See Cross-reference_command, for more details about this CSS file.
+@item
+@code{Main} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) – Is main unit.
@end itemize
-@geindex cmd file find
-@node Find [-f],Chop [--chop],Pretty print [--pp-html],File commands
-@anchor{references/CommandReference find-f}@anchor{10a}
-@subsection Find [@code{-f}]
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+@geindex Free_Dependence_List() (in module pyGHDL.libghdl.vhdl.sem_lib)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Free_Dependence_List}@anchor{1399}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Free_Dependence_List (Design)
+
+
+Free the dependence list of @code{Design}.
+
+@*Parameters:
+@code{Design} (@code{~Iir_Design_Unit}) – Design unit to free dependencies for.
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-@geindex ghdl command line option; -f <file...>
-@anchor{references/CommandReference cmdoption-ghdl-f}@anchor{4b}
-@deffn {Option} @w{-}f <file...>
@end deffn
-The files are scanned, parsed and the names of design units are displayed. Design units marked with two stars are candidates to be at the apex of a design hierarchy.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex cmd file chop
+@c This data file has been placed in the public domain.
-@node Chop [--chop],Lines [--lines],Find [-f],File commands
-@anchor{references/CommandReference chop-chop}@anchor{10b}
-@subsection Chop [@code{--chop}]
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c This data file has been placed in the public domain.
-@geindex ghdl command line option; --chop <files...>
-@anchor{references/CommandReference cmdoption-ghdl-chop}@anchor{10c}
-@deffn {Option} @w{-}@w{-}chop <files...>
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package doc}@anchor{139a}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package module-pyGHDL libghdl vhdl std_package}@anchor{31}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyghdl-libghdl-vhdl-std-package}@anchor{139b}
+@subsubsection pyGHDL.libghdl.vhdl.std_package
+
+
+@geindex module; pyGHDL.libghdl.vhdl.std_package
+
+@c #-----------------------------------
+
+@strong{Variables}
+
+
+@itemize -
+
+@item
+@ref{139c,,Std_Location}
+
+@item
+@ref{139d,,Standard_Package}
+
+@item
+@ref{139e,,Character_Type_Definition}
+@end itemize
+
+@geindex Std_Location (in module pyGHDL.libghdl.vhdl.std_package)
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Std_Location}@anchor{139c}
+@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Std_Location
+
+Virtual location for the @code{std.standard} package. Use @code{.value} to access this variable inside libghdl.
+
+@example
+c_long(0)
+@end example
@end deffn
-The provided files are read, and a file is written in the current directory for every design unit. Each filename is built according to the type:
+@geindex Standard_Package (in module pyGHDL.libghdl.vhdl.std_package)
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Standard_Package}@anchor{139d}
+@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Standard_Package
+Virtual package @code{std.package}. Use @code{.value} to access this variable inside libghdl.
-@itemize *
+@example
+c_long(0)
+@end example
+@end deffn
-@item
-For an entity declaration, a package declaration, or a configuration the file name is @code{NAME.vhdl}, where @cite{NAME} is the name of the design unit.
+@geindex Character_Type_Definition (in module pyGHDL.libghdl.vhdl.std_package)
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Character_Type_Definition}@anchor{139e}
+@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Character_Type_Definition
-@item
-For a package body, the filename is @code{NAME-body.vhdl}.
+Predefined character. Use @code{.value} to access this variable inside libghdl.
-@item
-Finally, for an architecture @cite{ARCH} of an entity @cite{ENTITY}, the filename is @code{ENTITY-ARCH.vhdl}.
+@example
+c_long(0)
+@end example
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl tokens,,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens doc}@anchor{139f}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens module-pyGHDL libghdl vhdl tokens}@anchor{32}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyghdl-libghdl-vhdl-tokens}@anchor{13a0}
+@subsubsection pyGHDL.libghdl.vhdl.tokens
+
+
+@geindex module; pyGHDL.libghdl.vhdl.tokens
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{106e,,Tok}:
+An enumeration.
@end itemize
-Since the input files are parsed, this command aborts in case of syntax error. The command aborts too if a file to be written already exists.
+@c #-----------------------------------
-Comments between design units are stored into the most adequate files.
+@geindex Tok (class in pyGHDL.libghdl.vhdl.tokens)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok}@anchor{106e}
+@deffn {Class} pyGHDL.libghdl.vhdl.tokens.Tok (value)
-This command may be useful to split big files, if your computer doesn’t have enough memory to compile such files. The size of the executable is reduced too.
-@geindex cmd file lines
+An enumeration.
-@node Lines [--lines],,Chop [--chop],File commands
-@anchor{references/CommandReference lines-lines}@anchor{10d}
-@subsection Lines [@code{--lines}]
+@subsubheading Inheritance
+@image{inheritance-0d5efe97cd2aa50e9d9f72279948c41c24dd4d21,,,[graphviz],png}
-@geindex ghdl command line option; --lines <files...>
-@anchor{references/CommandReference cmdoption-ghdl-lines}@anchor{10e}
-@deffn {Option} @w{-}@w{-}lines <files...>
+@subsubheading Members
+
+
+@geindex Invalid (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Invalid}@anchor{13a1}
+@deffn {Attribute} Invalid = 0
@end deffn
-Display on the standard output lines of files preceded by line number.
+@geindex Eof (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eof}@anchor{13a2}
+@deffn {Attribute} Eof = 1
+@end deffn
-@node GCC/LLVM only commands,Options<2>,File commands,Command Reference
-@anchor{references/CommandReference gcc-llvm-only-commands}@anchor{10f}@anchor{references/CommandReference gccllvm-only-programs}@anchor{ce}
-@section GCC/LLVM only commands
+@geindex Newline (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Newline}@anchor{13a3}
+@deffn {Attribute} Newline = 2
+@end deffn
+@geindex Block_Comment_Start (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Start}@anchor{13a4}
+@deffn {Attribute} Block_Comment_Start = 3
+@end deffn
-@geindex cmd GCC/LLVM binding
+@geindex Block_Comment_End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_End}@anchor{13a5}
+@deffn {Attribute} Block_Comment_End = 4
+@end deffn
-@menu
-* Bind [--bind]::
-* Link [--link]::
-* List link [--list-link]::
+@geindex Block_Comment_Text (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Text}@anchor{13a6}
+@deffn {Attribute} Block_Comment_Text = 5
+@end deffn
-@end menu
+@geindex Line_Comment (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Line_Comment}@anchor{13a7}
+@deffn {Attribute} Line_Comment = 6
+@end deffn
-@node Bind [--bind],Link [--link],,GCC/LLVM only commands
-@anchor{references/CommandReference bind-bind}@anchor{110}
-@subsection Bind [@code{--bind}]
+@geindex Character (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Character}@anchor{13a8}
+@deffn {Attribute} Character = 7
+@end deffn
+
+@geindex Identifier (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Identifier}@anchor{13a9}
+@deffn {Attribute} Identifier = 8
+@end deffn
+@geindex Integer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer}@anchor{13aa}
+@deffn {Attribute} Integer = 9
+@end deffn
-@geindex ghdl command line option; --bind <[options] primary_unit [secondary_unit]>
-@anchor{references/CommandReference cmdoption-ghdl-bind}@anchor{4a}
-@deffn {Option} @w{-}@w{-}bind <[options] primary_unit [secondary_unit]>
+@geindex Real (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Real}@anchor{13ab}
+@deffn {Attribute} Real = 10
@end deffn
-Performs only the first stage of the elaboration command; the list of object files is created but the executable is not built. This command should be used only when the main entry point is not GHDL.
+@geindex String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok String}@anchor{13ac}
+@deffn {Attribute} String = 11
+@end deffn
-@cartouche
-@quotation Hint
-Currently, the objects generated by @code{--bind} are created in the working directory. This behaviour is different from other object files generated with @code{-a}, which are always placed in the same directory as the @cite{WORK} library. It is possible to provide an output path with @code{ghdl --bind -o path/primary_unit primary_unit}. However, @code{ghdl --list-link} will only search in the current path.
-@end quotation
-@end cartouche
+@geindex Bit_String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bit_String}@anchor{13ad}
+@deffn {Attribute} Bit_String = 12
+@end deffn
-@geindex cmd GCC/LLVM linking
+@geindex Integer_Letter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer_Letter}@anchor{13ae}
+@deffn {Attribute} Integer_Letter = 13
+@end deffn
-@node Link [--link],List link [--list-link],Bind [--bind],GCC/LLVM only commands
-@anchor{references/CommandReference link-link}@anchor{111}
-@subsection Link [@code{--link}]
+@geindex Left_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Paren}@anchor{13af}
+@deffn {Attribute} Left_Paren = 14
+@end deffn
+@geindex Right_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Paren}@anchor{13b0}
+@deffn {Attribute} Right_Paren = 15
+@end deffn
-@geindex ghdl command line option; --link <[options] primary_unit [secondary_unit]>
-@anchor{references/CommandReference cmdoption-ghdl-link}@anchor{112}
-@deffn {Option} @w{-}@w{-}link <[options] primary_unit [secondary_unit]>
+@geindex Left_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Bracket}@anchor{13b1}
+@deffn {Attribute} Left_Bracket = 16
@end deffn
-Performs only the second stage of the elaboration command: the executable is created by linking the files of the object files list. This command is available only for completeness. The elaboration command is equivalent to the bind command followed by the link command.
+@geindex Right_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Bracket}@anchor{13b2}
+@deffn {Attribute} Right_Bracket = 17
+@end deffn
-@geindex cmd GCC/LLVM list link
+@geindex Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Colon}@anchor{13b3}
+@deffn {Attribute} Colon = 18
+@end deffn
-@node List link [--list-link],,Link [--link],GCC/LLVM only commands
-@anchor{references/CommandReference list-link-list-link}@anchor{113}
-@subsection List link [@code{--list-link}]
+@geindex Semi_Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Semi_Colon}@anchor{13b4}
+@deffn {Attribute} Semi_Colon = 19
+@end deffn
+@geindex Comma (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Comma}@anchor{13b5}
+@deffn {Attribute} Comma = 20
+@end deffn
-@geindex ghdl command line option; --list-link <primary_unit [secondary_unit]>
-@anchor{references/CommandReference cmdoption-ghdl-list-link}@anchor{114}
-@deffn {Option} @w{-}@w{-}list@w{-}link <primary_unit [secondary_unit]>
+@geindex Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Arrow}@anchor{13b6}
+@deffn {Attribute} Double_Arrow = 21
@end deffn
-This command may be used only after a bind command. GHDL displays all the files which will be linked to create an executable and additional arguments for the linker. This command is intended to add object files in a link of a foreign program. This command should be used only after @code{ghdl --bind}, as some files generated by it are looked for in the current path.
+@geindex Tick (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tick}@anchor{13b7}
+@deffn {Attribute} Tick = 22
+@end deffn
-@cartouche
-@quotation Hint
-One of the arguments returned by @code{--list-link} is @code{-Wl,--version-script=PREFIX/lib/ghdl/grt.ver}, where @cite{PREFIX} is the installation path of GHDL. This will hide most of the symbols when the target executable binary is built. In some contexts, where the binary is to be loaded dynamically, the user might want additional symbols to be accessible. There are two possible approaches to have it done:
+@geindex Double_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Star}@anchor{13b8}
+@deffn {Attribute} Double_Star = 23
+@end deffn
+@geindex Assign (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assign}@anchor{13b9}
+@deffn {Attribute} Assign = 24
+@end deffn
-@itemize *
+@geindex Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar}@anchor{13ba}
+@deffn {Attribute} Bar = 25
+@end deffn
-@item
-Filter the output of @code{--list-link} with e.g. @code{sed}.
+@geindex Box (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Box}@anchor{13bb}
+@deffn {Attribute} Box = 26
+@end deffn
-@item
-Provide an additional non-anonymous version script: @code{-Wl,-Wl,--version-script=file.ver}.
+@geindex Dot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Dot}@anchor{13bc}
+@deffn {Attribute} Dot = 27
+@end deffn
+
+@geindex Equal_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal_Equal}@anchor{13bd}
+@deffn {Attribute} Equal_Equal = 28
+@end deffn
+
+@geindex Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal}@anchor{13be}
+@deffn {Attribute} Equal = 29
+@end deffn
+
+@geindex Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not_Equal}@anchor{13bf}
+@deffn {Attribute} Not_Equal = 30
+@end deffn
+
+@geindex Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less}@anchor{13c0}
+@deffn {Attribute} Less = 31
+@end deffn
+
+@geindex Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less_Equal}@anchor{13c1}
+@deffn {Attribute} Less_Equal = 32
+@end deffn
+
+@geindex Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater}@anchor{13c2}
+@deffn {Attribute} Greater = 33
+@end deffn
+
+@geindex Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater_Equal}@anchor{13c3}
+@deffn {Attribute} Greater_Equal = 34
+@end deffn
+
+@geindex Match_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Equal}@anchor{13c4}
+@deffn {Attribute} Match_Equal = 35
+@end deffn
+
+@geindex Match_Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Not_Equal}@anchor{13c5}
+@deffn {Attribute} Match_Not_Equal = 36
+@end deffn
+
+@geindex Match_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less}@anchor{13c6}
+@deffn {Attribute} Match_Less = 37
+@end deffn
+
+@geindex Match_Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less_Equal}@anchor{13c7}
+@deffn {Attribute} Match_Less_Equal = 38
+@end deffn
+
+@geindex Match_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater}@anchor{13c8}
+@deffn {Attribute} Match_Greater = 39
+@end deffn
+
+@geindex Match_Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater_Equal}@anchor{13c9}
+@deffn {Attribute} Match_Greater_Equal = 40
+@end deffn
+
+@geindex Plus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Plus}@anchor{13ca}
+@deffn {Attribute} Plus = 41
+@end deffn
+
+@geindex Minus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus}@anchor{13cb}
+@deffn {Attribute} Minus = 42
+@end deffn
+
+@geindex Ampersand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ampersand}@anchor{13cc}
+@deffn {Attribute} Ampersand = 43
+@end deffn
+
+@geindex Condition (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Condition}@anchor{13cd}
+@deffn {Attribute} Condition = 44
+@end deffn
+
+@geindex Double_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Less}@anchor{13ce}
+@deffn {Attribute} Double_Less = 45
+@end deffn
+
+@geindex Double_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Greater}@anchor{13cf}
+@deffn {Attribute} Double_Greater = 46
+@end deffn
+
+@geindex Caret (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Caret}@anchor{13d0}
+@deffn {Attribute} Caret = 47
+@end deffn
+
+@geindex And_And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And_And}@anchor{13d1}
+@deffn {Attribute} And_And = 48
+@end deffn
+
+@geindex Bar_Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Bar}@anchor{13d2}
+@deffn {Attribute} Bar_Bar = 49
+@end deffn
+
+@geindex Left_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Curly}@anchor{13d3}
+@deffn {Attribute} Left_Curly = 50
+@end deffn
+
+@geindex Right_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Curly}@anchor{13d4}
+@deffn {Attribute} Right_Curly = 51
+@end deffn
+
+@geindex Exclam_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exclam_Mark}@anchor{13d5}
+@deffn {Attribute} Exclam_Mark = 52
+@end deffn
+
+@geindex Brack_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Star}@anchor{13d6}
+@deffn {Attribute} Brack_Star = 53
+@end deffn
+
+@geindex Brack_Plus_Brack (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Plus_Brack}@anchor{13d7}
+@deffn {Attribute} Brack_Plus_Brack = 54
+@end deffn
+
+@geindex Brack_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Arrow}@anchor{13d8}
+@deffn {Attribute} Brack_Arrow = 55
+@end deffn
+
+@geindex Brack_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Equal}@anchor{13d9}
+@deffn {Attribute} Brack_Equal = 56
+@end deffn
+
+@geindex Bar_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Arrow}@anchor{13da}
+@deffn {Attribute} Bar_Arrow = 57
+@end deffn
+
+@geindex Bar_Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Double_Arrow}@anchor{13db}
+@deffn {Attribute} Bar_Double_Arrow = 58
+@end deffn
+
+@geindex Minus_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus_Greater}@anchor{13dc}
+@deffn {Attribute} Minus_Greater = 59
+@end deffn
+
+@geindex Equiv_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equiv_Arrow}@anchor{13dd}
+@deffn {Attribute} Equiv_Arrow = 60
+@end deffn
+
+@geindex Arobase (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Arobase}@anchor{13de}
+@deffn {Attribute} Arobase = 61
+@end deffn
+
+@geindex Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Star}@anchor{13df}
+@deffn {Attribute} Star = 62
+@end deffn
+
+@geindex Slash (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Slash}@anchor{13e0}
+@deffn {Attribute} Slash = 63
+@end deffn
+
+@geindex Mod (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Mod}@anchor{13e1}
+@deffn {Attribute} Mod = 64
+@end deffn
+
+@geindex Rem (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rem}@anchor{13e2}
+@deffn {Attribute} Rem = 65
+@end deffn
+
+@geindex Abs (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abs}@anchor{13e3}
+@deffn {Attribute} Abs = 66
+@end deffn
+
+@geindex Not (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not}@anchor{13e4}
+@deffn {Attribute} Not = 67
+@end deffn
+
+@geindex Access (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Access}@anchor{13e5}
+@deffn {Attribute} Access = 68
+@end deffn
+
+@geindex After (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok After}@anchor{13e6}
+@deffn {Attribute} After = 69
+@end deffn
+
+@geindex Alias (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Alias}@anchor{13e7}
+@deffn {Attribute} Alias = 70
+@end deffn
+
+@geindex All (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok All}@anchor{13e8}
+@deffn {Attribute} All = 71
+@end deffn
+
+@geindex Architecture (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Architecture}@anchor{13e9}
+@deffn {Attribute} Architecture = 72
+@end deffn
+
+@geindex Array (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Array}@anchor{13ea}
+@deffn {Attribute} Array = 73
+@end deffn
+
+@geindex Assert (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assert}@anchor{13eb}
+@deffn {Attribute} Assert = 74
+@end deffn
+
+@geindex Attribute (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Attribute}@anchor{13ec}
+@deffn {Attribute} Attribute = 75
+@end deffn
+
+@geindex Begin (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Begin}@anchor{13ed}
+@deffn {Attribute} Begin = 76
+@end deffn
+
+@geindex Block (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block}@anchor{13ee}
+@deffn {Attribute} Block = 77
+@end deffn
+
+@geindex Body (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Body}@anchor{13ef}
+@deffn {Attribute} Body = 78
+@end deffn
+
+@geindex Buffer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Buffer}@anchor{13f0}
+@deffn {Attribute} Buffer = 79
+@end deffn
+
+@geindex Bus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bus}@anchor{13f1}
+@deffn {Attribute} Bus = 80
+@end deffn
+
+@geindex Case (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Case}@anchor{13f2}
+@deffn {Attribute} Case = 81
+@end deffn
+
+@geindex Component (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Component}@anchor{13f3}
+@deffn {Attribute} Component = 82
+@end deffn
+
+@geindex Configuration (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Configuration}@anchor{13f4}
+@deffn {Attribute} Configuration = 83
+@end deffn
+
+@geindex Constant (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Constant}@anchor{13f5}
+@deffn {Attribute} Constant = 84
+@end deffn
+
+@geindex Disconnect (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Disconnect}@anchor{13f6}
+@deffn {Attribute} Disconnect = 85
+@end deffn
+
+@geindex Downto (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Downto}@anchor{13f7}
+@deffn {Attribute} Downto = 86
+@end deffn
+
+@geindex Else (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Else}@anchor{13f8}
+@deffn {Attribute} Else = 87
+@end deffn
+
+@geindex Elsif (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Elsif}@anchor{13f9}
+@deffn {Attribute} Elsif = 88
+@end deffn
+
+@geindex End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok End}@anchor{13fa}
+@deffn {Attribute} End = 89
+@end deffn
+
+@geindex Entity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Entity}@anchor{13fb}
+@deffn {Attribute} Entity = 90
+@end deffn
+
+@geindex Exit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exit}@anchor{13fc}
+@deffn {Attribute} Exit = 91
+@end deffn
+
+@geindex File (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok File}@anchor{13fd}
+@deffn {Attribute} File = 92
+@end deffn
+
+@geindex For (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok For}@anchor{13fe}
+@deffn {Attribute} For = 93
+@end deffn
+
+@geindex Function (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Function}@anchor{13ff}
+@deffn {Attribute} Function = 94
+@end deffn
+
+@geindex Generate (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generate}@anchor{1400}
+@deffn {Attribute} Generate = 95
+@end deffn
+
+@geindex Generic (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generic}@anchor{1401}
+@deffn {Attribute} Generic = 96
+@end deffn
+
+@geindex Guarded (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Guarded}@anchor{1402}
+@deffn {Attribute} Guarded = 97
+@end deffn
+
+@geindex If (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok If}@anchor{1403}
+@deffn {Attribute} If = 98
+@end deffn
+
+@geindex In (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok In}@anchor{1404}
+@deffn {Attribute} In = 99
+@end deffn
+
+@geindex Inout (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inout}@anchor{1405}
+@deffn {Attribute} Inout = 100
+@end deffn
+
+@geindex Is (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Is}@anchor{1406}
+@deffn {Attribute} Is = 101
+@end deffn
+
+@geindex Label (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Label}@anchor{1407}
+@deffn {Attribute} Label = 102
+@end deffn
+
+@geindex Library (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Library}@anchor{1408}
+@deffn {Attribute} Library = 103
+@end deffn
+
+@geindex Linkage (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Linkage}@anchor{1409}
+@deffn {Attribute} Linkage = 104
+@end deffn
+
+@geindex Loop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Loop}@anchor{140a}
+@deffn {Attribute} Loop = 105
+@end deffn
+
+@geindex Map (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Map}@anchor{140b}
+@deffn {Attribute} Map = 106
+@end deffn
+
+@geindex New (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok New}@anchor{140c}
+@deffn {Attribute} New = 107
+@end deffn
+
+@geindex Next (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next}@anchor{140d}
+@deffn {Attribute} Next = 108
+@end deffn
+
+@geindex Null (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Null}@anchor{140e}
+@deffn {Attribute} Null = 109
+@end deffn
+
+@geindex Of (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Of}@anchor{140f}
+@deffn {Attribute} Of = 110
+@end deffn
+
+@geindex On (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok On}@anchor{1410}
+@deffn {Attribute} On = 111
+@end deffn
+
+@geindex Open (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Open}@anchor{1411}
+@deffn {Attribute} Open = 112
+@end deffn
+
+@geindex Others (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Others}@anchor{1412}
+@deffn {Attribute} Others = 113
+@end deffn
+
+@geindex Out (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Out}@anchor{1413}
+@deffn {Attribute} Out = 114
+@end deffn
+
+@geindex Package (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Package}@anchor{1414}
+@deffn {Attribute} Package = 115
+@end deffn
+
+@geindex Port (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Port}@anchor{1415}
+@deffn {Attribute} Port = 116
+@end deffn
+
+@geindex Procedure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedure}@anchor{1416}
+@deffn {Attribute} Procedure = 117
+@end deffn
+
+@geindex Process (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Process}@anchor{1417}
+@deffn {Attribute} Process = 118
+@end deffn
+
+@geindex Range (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Range}@anchor{1418}
+@deffn {Attribute} Range = 119
+@end deffn
+
+@geindex Record (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Record}@anchor{1419}
+@deffn {Attribute} Record = 120
+@end deffn
+
+@geindex Register (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Register}@anchor{141a}
+@deffn {Attribute} Register = 121
+@end deffn
+
+@geindex Report (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Report}@anchor{141b}
+@deffn {Attribute} Report = 122
+@end deffn
+
+@geindex Return (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Return}@anchor{141c}
+@deffn {Attribute} Return = 123
+@end deffn
+
+@geindex Select (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Select}@anchor{141d}
+@deffn {Attribute} Select = 124
+@end deffn
+
+@geindex Severity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Severity}@anchor{141e}
+@deffn {Attribute} Severity = 125
+@end deffn
+
+@geindex Signal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Signal}@anchor{141f}
+@deffn {Attribute} Signal = 126
+@end deffn
+
+@geindex Subtype (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subtype}@anchor{1420}
+@deffn {Attribute} Subtype = 127
+@end deffn
+
+@geindex Then (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Then}@anchor{1421}
+@deffn {Attribute} Then = 128
+@end deffn
+
+@geindex To (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok To}@anchor{1422}
+@deffn {Attribute} To = 129
+@end deffn
+
+@geindex Transport (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Transport}@anchor{1423}
+@deffn {Attribute} Transport = 130
+@end deffn
+
+@geindex Type (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Type}@anchor{1424}
+@deffn {Attribute} Type = 131
+@end deffn
+
+@geindex Units (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Units}@anchor{1425}
+@deffn {Attribute} Units = 132
+@end deffn
+
+@geindex Until (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until}@anchor{1426}
+@deffn {Attribute} Until = 133
+@end deffn
+
+@geindex Use (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Use}@anchor{1427}
+@deffn {Attribute} Use = 134
+@end deffn
+
+@geindex Variable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Variable}@anchor{1428}
+@deffn {Attribute} Variable = 135
+@end deffn
+
+@geindex Wait (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Wait}@anchor{1429}
+@deffn {Attribute} Wait = 136
+@end deffn
+
+@geindex When (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok When}@anchor{142a}
+@deffn {Attribute} When = 137
+@end deffn
+
+@geindex While (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok While}@anchor{142b}
+@deffn {Attribute} While = 138
+@end deffn
+
+@geindex With (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok With}@anchor{142c}
+@deffn {Attribute} With = 139
+@end deffn
+
+@geindex And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And}@anchor{142d}
+@deffn {Attribute} And = 140
+@end deffn
+
+@geindex Or (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Or}@anchor{142e}
+@deffn {Attribute} Or = 141
+@end deffn
+
+@geindex Xor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xor}@anchor{142f}
+@deffn {Attribute} Xor = 142
+@end deffn
+
+@geindex Nand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nand}@anchor{1430}
+@deffn {Attribute} Nand = 143
+@end deffn
+
+@geindex Nor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nor}@anchor{1431}
+@deffn {Attribute} Nor = 144
+@end deffn
+
+@geindex Xnor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xnor}@anchor{1432}
+@deffn {Attribute} Xnor = 145
+@end deffn
+
+@geindex Group (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Group}@anchor{1433}
+@deffn {Attribute} Group = 146
+@end deffn
+
+@geindex Impure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Impure}@anchor{1434}
+@deffn {Attribute} Impure = 147
+@end deffn
+
+@geindex Inertial (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inertial}@anchor{1435}
+@deffn {Attribute} Inertial = 148
+@end deffn
+
+@geindex Literal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Literal}@anchor{1436}
+@deffn {Attribute} Literal = 149
+@end deffn
+
+@geindex Postponed (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Postponed}@anchor{1437}
+@deffn {Attribute} Postponed = 150
+@end deffn
+
+@geindex Pure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Pure}@anchor{1438}
+@deffn {Attribute} Pure = 151
+@end deffn
+
+@geindex Reject (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reject}@anchor{1439}
+@deffn {Attribute} Reject = 152
+@end deffn
+
+@geindex Shared (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Shared}@anchor{143a}
+@deffn {Attribute} Shared = 153
+@end deffn
+
+@geindex Unaffected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Unaffected}@anchor{143b}
+@deffn {Attribute} Unaffected = 154
+@end deffn
+
+@geindex Sll (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sll}@anchor{143c}
+@deffn {Attribute} Sll = 155
+@end deffn
+
+@geindex Sla (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sla}@anchor{143d}
+@deffn {Attribute} Sla = 156
+@end deffn
+
+@geindex Sra (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sra}@anchor{143e}
+@deffn {Attribute} Sra = 157
+@end deffn
+
+@geindex Srl (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Srl}@anchor{143f}
+@deffn {Attribute} Srl = 158
+@end deffn
+
+@geindex Rol (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rol}@anchor{1440}
+@deffn {Attribute} Rol = 159
+@end deffn
+
+@geindex Ror (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ror}@anchor{1441}
+@deffn {Attribute} Ror = 160
+@end deffn
+
+@geindex Protected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Protected}@anchor{1442}
+@deffn {Attribute} Protected = 161
+@end deffn
+
+@geindex Assume (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assume}@anchor{1443}
+@deffn {Attribute} Assume = 162
+@end deffn
+
+@geindex Context (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Context}@anchor{1444}
+@deffn {Attribute} Context = 163
+@end deffn
+
+@geindex Cover (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Cover}@anchor{1445}
+@deffn {Attribute} Cover = 164
+@end deffn
+
+@geindex Default (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Default}@anchor{1446}
+@deffn {Attribute} Default = 165
+@end deffn
+
+@geindex Force (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Force}@anchor{1447}
+@deffn {Attribute} Force = 166
+@end deffn
+
+@geindex Parameter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Parameter}@anchor{1448}
+@deffn {Attribute} Parameter = 167
+@end deffn
+
+@geindex Property (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Property}@anchor{1449}
+@deffn {Attribute} Property = 168
+@end deffn
+
+@geindex Release (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Release}@anchor{144a}
+@deffn {Attribute} Release = 169
+@end deffn
+
+@geindex Restrict (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict}@anchor{144b}
+@deffn {Attribute} Restrict = 170
+@end deffn
+
+@geindex Restrict_Guarantee (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict_Guarantee}@anchor{144c}
+@deffn {Attribute} Restrict_Guarantee = 171
+@end deffn
+
+@geindex Sequence (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sequence}@anchor{144d}
+@deffn {Attribute} Sequence = 172
+@end deffn
+
+@geindex Vmode (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vmode}@anchor{144e}
+@deffn {Attribute} Vmode = 173
+@end deffn
+
+@geindex Vprop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vprop}@anchor{144f}
+@deffn {Attribute} Vprop = 174
+@end deffn
+
+@geindex Vunit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vunit}@anchor{1450}
+@deffn {Attribute} Vunit = 175
+@end deffn
+
+@geindex Across (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Across}@anchor{1451}
+@deffn {Attribute} Across = 176
+@end deffn
+
+@geindex Break (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Break}@anchor{1452}
+@deffn {Attribute} Break = 177
+@end deffn
+
+@geindex Limit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Limit}@anchor{1453}
+@deffn {Attribute} Limit = 178
+@end deffn
+
+@geindex Nature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nature}@anchor{1454}
+@deffn {Attribute} Nature = 179
+@end deffn
+
+@geindex Noise (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Noise}@anchor{1455}
+@deffn {Attribute} Noise = 180
+@end deffn
+
+@geindex Procedural (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedural}@anchor{1456}
+@deffn {Attribute} Procedural = 181
+@end deffn
+
+@geindex Quantity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Quantity}@anchor{1457}
+@deffn {Attribute} Quantity = 182
+@end deffn
+
+@geindex Reference (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reference}@anchor{1458}
+@deffn {Attribute} Reference = 183
+@end deffn
+
+@geindex Spectrum (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Spectrum}@anchor{1459}
+@deffn {Attribute} Spectrum = 184
+@end deffn
+
+@geindex Subnature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subnature}@anchor{145a}
+@deffn {Attribute} Subnature = 185
+@end deffn
+
+@geindex Terminal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Terminal}@anchor{145b}
+@deffn {Attribute} Terminal = 186
+@end deffn
+
+@geindex Through (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Through}@anchor{145c}
+@deffn {Attribute} Through = 187
+@end deffn
+
+@geindex Tolerance (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tolerance}@anchor{145d}
+@deffn {Attribute} Tolerance = 188
+@end deffn
+
+@geindex Psl_Clock (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Clock}@anchor{145e}
+@deffn {Attribute} Psl_Clock = 189
+@end deffn
+
+@geindex Psl_Endpoint (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Endpoint}@anchor{145f}
+@deffn {Attribute} Psl_Endpoint = 190
+@end deffn
+
+@geindex Psl_Const (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Const}@anchor{1460}
+@deffn {Attribute} Psl_Const = 191
+@end deffn
+
+@geindex Psl_Boolean (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Boolean}@anchor{1461}
+@deffn {Attribute} Psl_Boolean = 192
+@end deffn
+
+@geindex Inf (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inf}@anchor{1462}
+@deffn {Attribute} Inf = 193
+@end deffn
+
+@geindex Within (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Within}@anchor{1463}
+@deffn {Attribute} Within = 194
+@end deffn
+
+@geindex Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abort}@anchor{1464}
+@deffn {Attribute} Abort = 195
+@end deffn
+
+@geindex Before (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before}@anchor{1465}
+@deffn {Attribute} Before = 196
+@end deffn
+
+@geindex Before_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em}@anchor{1466}
+@deffn {Attribute} Before_Em = 197
+@end deffn
+
+@geindex Before_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Un}@anchor{1467}
+@deffn {Attribute} Before_Un = 198
+@end deffn
+
+@geindex Before_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em_Un}@anchor{1468}
+@deffn {Attribute} Before_Em_Un = 199
+@end deffn
+
+@geindex Always (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Always}@anchor{1469}
+@deffn {Attribute} Always = 200
+@end deffn
+
+@geindex Never (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Never}@anchor{146a}
+@deffn {Attribute} Never = 201
+@end deffn
+
+@geindex Eventually_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eventually_Em}@anchor{146b}
+@deffn {Attribute} Eventually_Em = 202
+@end deffn
+
+@geindex Next_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Em}@anchor{146c}
+@deffn {Attribute} Next_Em = 203
+@end deffn
+
+@geindex Next_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A}@anchor{146d}
+@deffn {Attribute} Next_A = 204
+@end deffn
+
+@geindex Next_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A_Em}@anchor{146e}
+@deffn {Attribute} Next_A_Em = 205
+@end deffn
+
+@geindex Next_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E}@anchor{146f}
+@deffn {Attribute} Next_E = 206
+@end deffn
+
+@geindex Next_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E_Em}@anchor{1470}
+@deffn {Attribute} Next_E_Em = 207
+@end deffn
+
+@geindex Next_Event (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event}@anchor{1471}
+@deffn {Attribute} Next_Event = 208
+@end deffn
+
+@geindex Next_Event_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_Em}@anchor{1472}
+@deffn {Attribute} Next_Event_Em = 209
+@end deffn
+
+@geindex Next_Event_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A}@anchor{1473}
+@deffn {Attribute} Next_Event_A = 210
+@end deffn
+
+@geindex Next_Event_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A_Em}@anchor{1474}
+@deffn {Attribute} Next_Event_A_Em = 211
+@end deffn
+
+@geindex Next_Event_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E}@anchor{1475}
+@deffn {Attribute} Next_Event_E = 212
+@end deffn
+
+@geindex Next_Event_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E_Em}@anchor{1476}
+@deffn {Attribute} Next_Event_E_Em = 213
+@end deffn
+
+@geindex Until_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em}@anchor{1477}
+@deffn {Attribute} Until_Em = 214
+@end deffn
+
+@geindex Until_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Un}@anchor{1478}
+@deffn {Attribute} Until_Un = 215
+@end deffn
+
+@geindex Until_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em_Un}@anchor{1479}
+@deffn {Attribute} Until_Em_Un = 216
+@end deffn
+
+@geindex Prev (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Prev}@anchor{147a}
+@deffn {Attribute} Prev = 217
+@end deffn
+
+@geindex Stable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Stable}@anchor{147b}
+@deffn {Attribute} Stable = 218
+@end deffn
+
+@geindex Fell (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Fell}@anchor{147c}
+@deffn {Attribute} Fell = 219
+@end deffn
+
+@geindex Rose (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rose}@anchor{147d}
+@deffn {Attribute} Rose = 220
+@end deffn
+
+@geindex Onehot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot}@anchor{147e}
+@deffn {Attribute} Onehot = 221
+@end deffn
+
+@geindex Onehot0 (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot0}@anchor{147f}
+@deffn {Attribute} Onehot0 = 222
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1480,,finalize()}:
+Free all the memory, be ready for a new initialization.
+
+@item
+@ref{1481,,initialize()}:
+Initialize or re-initialize the shared library.
+
+@item
+@ref{1482,,set_option()}:
+Set option @code{opt}.
+
+@item
+@ref{1483,,analyze_init()}:
+Initialize the analyzer.
+
+@item
+@ref{1484,,analyze_init_status()}:
+Initialize the analyzer.
+
+@item
+@ref{1485,,analyze_file()}:
+Analyze a given filename @code{fname}.
+
+@item
+@ref{1486,,disp_config()}:
+Display the configured prefixes for libghdl.
@end itemize
-@end quotation
-@end cartouche
-@node Options<2>,Passing options to other programs,GCC/LLVM only commands,Command Reference
-@anchor{references/CommandReference options}@anchor{115}
-@section Options
+@c #-----------------------------------
+@strong{Functions}
+
+@geindex finalize() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl finalize}@anchor{1480}
+@deffn {Function} pyGHDL.libghdl.finalize ()
+
+
+Free all the memory, be ready for a new initialization.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-@geindex ghdl command line option; --mb-comments@comma{} -C
-@anchor{references/CommandReference cmdoption-ghdl-mb-comments}@anchor{29}
-@deffn {Option} @w{-}@w{-}mb@w{-}comments, @w{-}C
@end deffn
-Allow multi-bytes chars in a comment.
+@geindex initialize() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl initialize}@anchor{1481}
+@deffn {Function} pyGHDL.libghdl.initialize ()
+
+
+Initialize or re-initialize the shared library.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-@geindex ghdl command line option; --syn-binding
-@anchor{references/CommandReference cmdoption-ghdl-syn-binding}@anchor{116}
-@deffn {Option} @w{-}@w{-}syn@w{-}binding
@end deffn
-Use synthesizer rules for component binding. During elaboration, if a component is not bound to an entity using VHDL LRM rules, try to find in any known library an entity whose name is the same as the component name.
+@geindex set_option() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl set_option}@anchor{1482}
+@deffn {Function} pyGHDL.libghdl.set_option (Opt)
-This rule is known as the synthesizer rule.
-There are two key points: normal VHDL LRM rules are tried first and entities are searched only in known libraries. A known library is a library which has been named in your design.
+Set option @code{opt}.
-This option is only useful during elaboration.
+@*Parameters:
+@code{Opt} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – Option to set.
+
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+
+@*Returns:
+Return @code{True}, if the option is known and handled.
-@geindex ghdl command line option; --GHDL1<=COMMAND>
-@anchor{references/CommandReference cmdoption-ghdl-ghdl1}@anchor{117}
-@deffn {Option} @w{-}@w{-}GHDL1<=COMMAND>
@end deffn
-Use @code{COMMAND} as the command name for the compiler. If @code{COMMAND} is not a path, then it is searched in the path.
+@geindex analyze_init() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init}@anchor{1483}
+@deffn {Function} pyGHDL.libghdl.analyze_init ()
+
+
+Initialize the analyzer.
+
+
+Deprecated since version 1.0.0: Deprecated as it may raise an exception. Use @ref{1484,,analyze_init_status()}.
+
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-@geindex ghdl command line option; --AS<=COMMAND>
-@anchor{references/CommandReference cmdoption-ghdl-as}@anchor{118}
-@deffn {Option} @w{-}@w{-}AS<=COMMAND>
@end deffn
-Use @code{COMMAND} as the command name for the assembler. If @code{COMMAND} is not a path, then it is searched in the path. The default is @code{as}.
+@geindex analyze_init_status() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init_status}@anchor{1484}
+@deffn {Function} pyGHDL.libghdl.analyze_init_status ()
+
+
+Initialize the analyzer.
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Returns 0 in case of success.
-@geindex ghdl command line option; --LINK<=COMMAND>
-@anchor{references/CommandReference id1}@anchor{119}
-@deffn {Option} @w{-}@w{-}LINK<=COMMAND>
@end deffn
-Use @code{COMMAND} as the linker driver. If @code{COMMAND} is not a path, then it is searched in the path. The default is @code{gcc}.
+@geindex analyze_file() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_file}@anchor{1485}
+@deffn {Function} pyGHDL.libghdl.analyze_file (fname)
-@node Passing options to other programs,,Options<2>,Command Reference
-@anchor{references/CommandReference id2}@anchor{11a}@anchor{references/CommandReference passing-options-to-other-programs}@anchor{c9}
-@section Passing options to other programs
+Analyze a given filename @code{fname}.
+
+@*Parameters:
+@code{fname} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – File name
+
+
+@*Return type:
+~Iir
+
+
+@*Returns:
+Internal Intermediate Representation (IIR)
+
+@end deffn
+
+@geindex disp_config() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl disp_config}@anchor{1486}
+@deffn {Function} pyGHDL.libghdl.disp_config ()
+
+
+Display the configured prefixes for libghdl.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp,,pyGHDL libghdl,pyGHDL
+@anchor{pyGHDL/pyGHDL lsp doc}@anchor{1487}@anchor{pyGHDL/pyGHDL lsp module-pyGHDL lsp}@anchor{33}@anchor{pyGHDL/pyGHDL lsp pyghdl-lsp}@anchor{1488}
+@section pyGHDL.lsp
+
+
+@geindex module; pyGHDL.lsp
+
+@c #-----------------------------------
+
+@strong{Submodules}
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@menu
+* pyGHDL.lsp.document: pyGHDL lsp document.
+* pyGHDL.lsp.lsp: pyGHDL lsp lsp.
+* pyGHDL.lsp.lsptools: pyGHDL lsp lsptools.
+* pyGHDL.lsp.references: pyGHDL lsp references.
+* pyGHDL.lsp.symbols: pyGHDL lsp symbols.
+* pyGHDL.lsp.version: pyGHDL lsp version.
+* pyGHDL.lsp.vhdl_ls: pyGHDL lsp vhdl_ls.
+* pyGHDL.lsp.workspace: pyGHDL lsp workspace.
+
+@end menu
+
+@node pyGHDL lsp document,pyGHDL lsp lsp,,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp document doc}@anchor{1489}@anchor{pyGHDL/pyGHDL lsp document module-pyGHDL lsp document}@anchor{34}@anchor{pyGHDL/pyGHDL lsp document pyghdl-lsp-document}@anchor{148a}
+@subsection pyGHDL.lsp.document
+
+
+@geindex module; pyGHDL.lsp.document
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp lsp,pyGHDL lsp lsptools,pyGHDL lsp document,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp lsp doc}@anchor{148b}@anchor{pyGHDL/pyGHDL lsp lsp module-pyGHDL lsp lsp}@anchor{35}@anchor{pyGHDL/pyGHDL lsp lsp pyghdl-lsp-lsp}@anchor{148c}
+@subsection pyGHDL.lsp.lsp
+
+
+@geindex module; pyGHDL.lsp.lsp
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp lsptools,pyGHDL lsp references,pyGHDL lsp lsp,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp lsptools doc}@anchor{148d}@anchor{pyGHDL/pyGHDL lsp lsptools module-pyGHDL lsp lsptools}@anchor{36}@anchor{pyGHDL/pyGHDL lsp lsptools pyghdl-lsp-lsptools}@anchor{148e}
+@subsection pyGHDL.lsp.lsptools
+
+
+@geindex module; pyGHDL.lsp.lsptools
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp references,pyGHDL lsp symbols,pyGHDL lsp lsptools,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp references doc}@anchor{148f}@anchor{pyGHDL/pyGHDL lsp references module-pyGHDL lsp references}@anchor{37}@anchor{pyGHDL/pyGHDL lsp references pyghdl-lsp-references}@anchor{1490}
+@subsection pyGHDL.lsp.references
+
+
+@geindex module; pyGHDL.lsp.references
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp symbols,pyGHDL lsp version,pyGHDL lsp references,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp symbols doc}@anchor{1491}@anchor{pyGHDL/pyGHDL lsp symbols module-pyGHDL lsp symbols}@anchor{38}@anchor{pyGHDL/pyGHDL lsp symbols pyghdl-lsp-symbols}@anchor{1492}
+@subsection pyGHDL.lsp.symbols
+
+
+@geindex module; pyGHDL.lsp.symbols
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp version,pyGHDL lsp vhdl_ls,pyGHDL lsp symbols,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp version doc}@anchor{1493}@anchor{pyGHDL/pyGHDL lsp version module-pyGHDL lsp version}@anchor{39}@anchor{pyGHDL/pyGHDL lsp version pyghdl-lsp-version}@anchor{1494}
+@subsection pyGHDL.lsp.version
+
+
+@geindex module; pyGHDL.lsp.version
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp vhdl_ls,pyGHDL lsp workspace,pyGHDL lsp version,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp vhdl_ls doc}@anchor{1495}@anchor{pyGHDL/pyGHDL lsp vhdl_ls module-pyGHDL lsp vhdl_ls}@anchor{3a}@anchor{pyGHDL/pyGHDL lsp vhdl_ls pyghdl-lsp-vhdl-ls}@anchor{1496}
+@subsection pyGHDL.lsp.vhdl_ls
+
+
+@geindex module; pyGHDL.lsp.vhdl_ls
+
+@c #-----------------------------------
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL lsp workspace,,pyGHDL lsp vhdl_ls,pyGHDL lsp
+@anchor{pyGHDL/pyGHDL lsp workspace doc}@anchor{1497}@anchor{pyGHDL/pyGHDL lsp workspace module-pyGHDL lsp workspace}@anchor{3b}@anchor{pyGHDL/pyGHDL lsp workspace pyghdl-lsp-workspace}@anchor{1498}
+@subsection pyGHDL.lsp.workspace
+
+
+@geindex module; pyGHDL.lsp.workspace
+
+@c #-----------------------------------
+
+@c #-----------------------------------
+
+@c #-----------------------------------
+
+@strong{Exceptions}
+
+
+@itemize -
+
+@item
+@ref{1499,,GHDLBaseException}:
+Common base class for all non-exit exceptions.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex GHDLBaseException
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException}@anchor{1499}
+@deffn {Exception} pyGHDL.GHDLBaseException
+
+
+@subheading Inheritance
+
+@image{inheritance-a60b3e2d87738be6bc5777692be4010afda80e33,,,[graphviz],png}
+
+@subheading Members
+
+
+@geindex args (pyGHDL.GHDLBaseException attribute)
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException args}@anchor{149a}
+@deffn {Attribute} args
+@end deffn
+
+@geindex with_traceback() (pyGHDL.GHDLBaseException method)
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException with_traceback}@anchor{149b}
+@deffn {Method} with_traceback ()
+
+Exception.with_traceback(tb) –
+set self.__traceback__ to tb and return self.
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node GHDL Waveform GHW,Debugging,pyGHDL,Top
+@anchor{ghw/index doc}@anchor{149c}@anchor{ghw/index ghdl-waveform-ghw}@anchor{149d}@anchor{ghw/index ghw}@anchor{131}
+@chapter GHDL Waveform (GHW)
+
+
+The most widespread format for dumping waveforms is Value Change Dump (VCD), defined in the Verilog language (IEEE Std
+1364-1995) and extended six years later as Extended VCD (EVCD), defined in IEEE Std 1364-2001.
+VCD is simple and compact, which allowed it to be used in fields other than Verilog simulation tools.
+For instance, GHDL supports dumping VCD files.
+
+However, VCD/EVCD cannot handle certain signal types from the VHDL language.
+There is neither any equivalent in the VHDL LRM.
+So, the author of GHDL, Tristan Gingold, implemented an alternative format named GHW, for allowing all VHDL types to be
+dumped.
+He also contributed a reader to GTKWave based on libghw (see gtkwave/gtkwave/search?q=libghw@footnote{https://github.com/gtkwave/gtkwave/search?q=libghw} and gtkwave/gtkwave: gtkwave3/src/ghw.c@footnote{https://github.com/gtkwave/gtkwave/blob/master/gtkwave3/src/ghw.c}),
+which allows visualizing GHW waves.
+
+The GHW format is not completely fixed, and it might change slightly as new language features are implemented in GHDL
+or as a result of internal tweaks.
+Nevertheless, the GHDL codebase (grt/grt-waves.adb@footnote{https://github.com/ghdl/ghdl/blob/master/src/grt/grt-waves.adb}) is kept in sync with the utilities in
+subdir ghw@footnote{https://github.com/ghdl/ghdl/blob/master/src/../ghw}.
@cartouche
-@quotation Warning
-These options are only available with GCC/LLVM.
+@quotation Tip
+In nturley/ghw-notes@footnote{https://github.com/nturley/ghw-notes}, there is some work for defining the GHW format as a
+Kaitai Struct (see kaitai.io@footnote{https://kaitai.io/}).
@end quotation
@end cartouche
-For many commands, GHDL acts as a driver: it invokes programs to perform the command. You can pass arbitrary options to these programs.
+@menu
+* libghw::
+* ghwdump::
-Both the compiler and the linker are in fact GCC programs. See the GCC manual for details on GCC options.
+@end menu
-@geindex ghdl command line option; -Wc@comma{}<OPTION>
-@anchor{references/CommandReference cmdoption-ghdl-wc-option}@anchor{11b}
-@deffn {Option} @w{-}Wc,<OPTION>
+@node libghw,ghwdump,,GHDL Waveform GHW
+@anchor{ghw/index libghw}@anchor{149e}
+@section libghw
+
+
+GHW reading features are provided as a shared library, which is built and installed with GHDL by default.
+
+@node ghwdump,,libghw,GHDL Waveform GHW
+@anchor{ghw/index ghwdump}@anchor{149f}
+@section ghwdump
+
+
+For debugging and learning purposes, ghwdump uses ghdlib for dumping the content of GHW waves into text files.
+ghwdump is also built and installed with GHDL by default.
+It’s used in the GHDL test suite for catching regressions.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node Debugging,Coding Style,GHDL Waveform GHW,Top
+@anchor{development/Debugging doc}@anchor{14a0}@anchor{development/Debugging debugging}@anchor{14a1}@anchor{development/Debugging dev-debugging}@anchor{11a}
+@chapter Debugging
+
+
+@menu
+* Simulation and runtime debugging options::
+
+@end menu
+
+@node Simulation and runtime debugging options,,,Debugging
+@anchor{development/Debugging simulation-and-runtime-debugging-options}@anchor{14a2}
+@section Simulation and runtime debugging options
+
+
+Besides the options described in @ref{bf,,Options}, @cite{GHDL} passes any debugging options (those that begin with
+@code{-g}) and optimizations options (those that begin with @code{-O} or @code{-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for
+details. Moreover, some debugging options are also available, but not described here. The --help@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-help} option lists
+all options available, including the debugging ones.
+
+@geindex command line option; --trace-signals
+@anchor{development/Debugging cmdoption-trace-signals}@anchor{14a3}
+@deffn {Option} @w{-}@w{-}trace@w{-}signals
+
+Display signals after each cycle.
@end deffn
-Pass @cite{OPTION} as an option to the compiler.
+@geindex command line option; --trace-processes
+@anchor{development/Debugging cmdoption-trace-processes}@anchor{14a4}
+@deffn {Option} @w{-}@w{-}trace@w{-}processes
-@geindex ghdl command line option; -Wa@comma{}<OPTION>
-@anchor{references/CommandReference cmdoption-ghdl-wa-option}@anchor{11c}
-@deffn {Option} @w{-}Wa,<OPTION>
+Display process name before each cycle.
@end deffn
-Pass @cite{OPTION} as an option to the assembler.
+@geindex command line option; --stats
+@anchor{development/Debugging cmdoption-stats}@anchor{14a5}
+@deffn {Option} @w{-}@w{-}stats
-@geindex ghdl command line option; -Wl@comma{}<OPTION>
-@anchor{references/CommandReference cmdoption-ghdl-wl-option}@anchor{11d}
-@deffn {Option} @w{-}Wl,<OPTION>
+Display run-time statistics.
@end deffn
-Pass @cite{OPTION} as an option to the linker.
+@geindex command line option; --disp-order
+@anchor{development/Debugging cmdoption-disp-order}@anchor{14a6}
+@deffn {Option} @w{-}@w{-}disp@w{-}order
+
+Display signals order.
+@end deffn
+
+@geindex command line option; --disp-sources
+@anchor{development/Debugging cmdoption-disp-sources}@anchor{14a7}
+@deffn {Option} @w{-}@w{-}disp@w{-}sources
+
+Display sources while displaying signals.
+@end deffn
+
+@geindex command line option; --disp-sig-types
+@anchor{development/Debugging cmdoption-disp-sig-types}@anchor{14a8}
+@deffn {Option} @w{-}@w{-}disp@w{-}sig@w{-}types
+
+Display signal types.
+@end deffn
+
+@geindex command line option; --disp-signals-map
+@anchor{development/Debugging cmdoption-disp-signals-map}@anchor{14a9}
+@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}map
+
+Display map bw declared signals and internal signals.
+@end deffn
+
+@geindex command line option; --disp-signals-table
+@anchor{development/Debugging cmdoption-disp-signals-table}@anchor{14aa}
+@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}table
+
+Display internal signals.
+@end deffn
+
+@geindex command line option; --checks
+@anchor{development/Debugging cmdoption-checks}@anchor{14ab}
+@deffn {Option} @w{-}@w{-}checks
+
+Do internal checks after each process run.
+@end deffn
+
+@geindex command line option; --activity=<LEVEL>
+@anchor{development/Debugging cmdoption-activity}@anchor{14ac}
+@deffn {Option} @w{-}@w{-}activity=<LEVEL>
+
+Watch activity of LEVEL signals: LEVEL is @code{all}, @code{min} (default) or @code{none} (unsafe).
+@end deffn
+
+@geindex command line option; --dump-rti
+@anchor{development/Debugging cmdoption-dump-rti}@anchor{14ad}
+@deffn {Option} @w{-}@w{-}dump@w{-}rti
+
+Dump Run Time Information (RTI).
+@end deffn
+
+@geindex command line option; --bootstrap
+@anchor{development/Debugging cmdoption-bootstrap}@anchor{14ae}
+@deffn {Option} @w{-}@w{-}bootstrap
+
+Allow @code{--work=std}
+@end deffn
+
+@menu
+* GNU Debugger (GDB): GNU Debugger GDB.
+
+@end menu
+
+@node GNU Debugger GDB,,,Simulation and runtime debugging options
+@anchor{development/Debugging gnu-debugger-gdb}@anchor{14af}
+@subsection GNU Debugger (GDB)
-@c # preload commonly known graphical characters like (c)
+
+@geindex `__ghdl_fatal`
+
+@cartouche
+@quotation Warning
+Debugging VHDL programs using @cite{GDB} is possible only with GCC/LLVM.
+@end quotation
+@end cartouche
+
+GDB is a general purpose debugger for programs compiled by GCC. Currently, there is no VHDL support for GDB. It may be difficult to inspect variables or signals in GDB. However, it is still able to display the stack frame in case of error or to set a breakpoint at a specified line.
+
+GDB can be useful to catch a runtime error, such as indexing an array beyond its bounds. All error check subprograms call the @code{__ghdl_fatal} procedure. Therefore, to a catch runtime error, set a breakpoint like this:
+
+@example
+(gdb) break __ghdl_fatal
+@end example
+
+When the breakpoint is hit, use the @code{where} or @code{bt} command to display the stack frames.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -5130,13 +47330,26 @@ Pass @cite{OPTION} as an option to the linker.
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@node Coding Style,Implementation of VHDL,Command Reference,Top
-@anchor{references/CodingStyle doc}@anchor{11e}@anchor{references/CodingStyle coding-style}@anchor{11f}@anchor{references/CodingStyle ref-style}@anchor{1b}
+@node Coding Style,Scripts,Debugging,Top
+@anchor{development/CodingStyle doc}@anchor{14b0}@anchor{development/CodingStyle coding-style}@anchor{14b1}@anchor{development/CodingStyle dev-style}@anchor{6e}
@chapter Coding Style
+@menu
+* Ada::
+* Shell::
+* Guidelines to edit the documentation::
+* Documentation configuration::
+
+@end menu
+
+@node Ada,Shell,,Coding Style
+@anchor{development/CodingStyle ada}@anchor{14b2}
+@section Ada
+
+
Ada subset: use only a simple (VHDL like) subset of Ada: no tasking, no
controlled types… VHDL users should easily understand that subset.
Allowed Ada95 features: the standard library, child packages.
@@ -5161,20 +47374,20 @@ declaration). Don’t repeat the comment before the subprogram body.
@itemize *
-@item
+@item
For subprograms:
-@enumerate
+@enumerate
-@item
+@item
Declare on one line when possible:
@example
function Translate_Static_Aggregate (Aggr : Iir) return O_Cnode
@end example
-@item
+@item
If not possible, put the return on the next line:
@example
@@ -5182,7 +47395,7 @@ function Translate_Static_String (Str_Type : Iir; Str_Ident : Name_Id)
return O_Cnode
@end example
-@item
+@item
If not possible, put parameters and return on the next line:
@example
@@ -5190,7 +47403,7 @@ function Create_String_Literal_Var_Inner
(Str : Iir; Element_Type : Iir; Str_Type : O_Tnode) return Var_Type
@end example
-@item
+@item
If not possible, return on the next line:
@example
@@ -5199,7 +47412,7 @@ function Translate_Shortcut_Operator
return O_Enode
@end example
-@item
+@item
If not possible, one parameter per line, just after subprogram name:
@example
@@ -5209,7 +47422,7 @@ procedure Translate_Static_Aggregate_1 (List : in out O_Array_Aggr_List;
El_Type : Iir)
@end example
-@item
+@item
If not possible, add a return after subprogram name:
@example
@@ -5221,11 +47434,11 @@ function Translate_Predefined_TF_Array_Element
return O_Enode
@end example
-@item
+@item
If not possible, ask yourself what is wrong! Shorten a name.
@end enumerate
-@item
+@item
Rule for the ‘is’: on a new line only if the declarative part is not empty:
@quotation
@@ -5258,7 +47471,7 @@ procedure Predeclare_Scope_Type
@end example
@end quotation
-@item
+@item
Generic instantiation: put the generic actual part on a new line:
@quotation
@@ -5269,20 +47482,20 @@ procedure Free is new Ada.Unchecked_Deallocation
@end example
@end quotation
-@item
+@item
For if/then statement:
-@enumerate
+@enumerate
-@item
+@item
‘then’ on the same line:
@example
if Get_Expr_Staticness (Decl) = Locally then
@end example
-@item
+@item
If not possible, ‘then’ is alone on its line aligned with the ‘if’:
@example
@@ -5291,11 +47504,11 @@ if Expr = Null_Iir
then
@end example
-@item
+@item
For a multiline condition, ‘or else’ and ‘and then’ should start lines.
@end enumerate
-@item
+@item
‘Local’ variable declaration:
Do not initialize variables, constants must be declared before variables:
@@ -5314,320 +47527,451 @@ If the initialization expression has a side effect (such as allocation), do
not use a constant.
@end itemize
-@c # preload commonly known graphical characters like (c)
+@node Shell,Guidelines to edit the documentation,Ada,Coding Style
+@anchor{development/CodingStyle shell}@anchor{14b3}
+@section Shell
-@c This data file has been placed in the public domain.
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a result, some functionalities, such as arrays like
+@code{array[1]}, are not supported. Therefore, build scripts should not use those functionalities unless
+they are sourced in a @cite{bash} shell. The same applies to the scripts in @cite{testsuite}.
-@c # define a hard kine break for HTML
+@node Guidelines to edit the documentation,Documentation configuration,Shell,Coding Style
+@anchor{development/CodingStyle guidelines-to-edit-the-documentation}@anchor{14b4}
+@section Guidelines to edit the documentation
-@node Implementation of VHDL,Implementation of VITAL,Coding Style,Top
-@anchor{references/ImplementationOfVHDL doc}@anchor{120}@anchor{references/ImplementationOfVHDL implementation-of-vhdl}@anchor{121}@anchor{references/ImplementationOfVHDL ref-implvhdl}@anchor{10}
-@chapter Implementation of VHDL
+@quotation
-This chapter describes several implementation defined aspects of VHDL in GHDL.
-@menu
-* VHDL standards::
-* PSL implementation::
-* Source representation::
-* Library database::
-* Top entity::
-* Using vendor libraries::
+@enumerate
-@end menu
+@item
+It’s better for version control systems and diff tools to break lines at a sensible number of characters. Long lines appear as one diff. Also merging is more complex because merges are line based. Long unbreakable items may be longer (links, refs, etc.). We chose to use 160 chars.
-@node VHDL standards,PSL implementation,,Implementation of VHDL
-@anchor{references/ImplementationOfVHDL id1}@anchor{122}@anchor{references/ImplementationOfVHDL vhdl-standards}@anchor{54}
-@section VHDL standards
+@item
+Please indent all directive content by 3 spaces (not 2, and no tabs).
+@item
+Please use @code{*} as an itemize character, since @code{-} and @code{+} are supported by docutils, but not officially supported by Sphinx.
-@geindex VHDL standards
+@item
+Please underline all headlines with at least as many characters as the headline is long. Following the Python pattern for headlines the levels are:
-@geindex IEEE 1076
+@example
+############
+************ (sometimes skipped in small documents)
+============
+-------------------
+‘’’’’’’’’’’’’’’’’’’’’’’’
+@end example
-@geindex IEEE 1076a
+@item
+It’s not required to write
-@geindex 1076
+@example
+:samp:`code`
+@end example
-@geindex 1076a
+The default role for
-@geindex v87
+@quotation
-@geindex v93
+@example
+`@w{`}code`@w{`}
+@end example
+@end quotation
-@geindex v93c
+is samp. @code{:samp:} is only required when you want to write italic text in code text.
-@geindex v00
+@quotation
-@geindex v02
+@example
+:samp:`print 1+@{variable@}`
+@end example
+@end quotation
-@geindex v08
+Now, variable becomes italic.
-Unfortunately, there are many versions of the VHDL
-language, and they aren’t backward compatible.
+Please simplify all usages of @code{:samp:`code`} to @code{`@w{`}code`@w{`}} for readability. Here are the regular expressions for an editor like Notepad++:
-The VHDL language was first standardized in 1987 by IEEE as IEEE 1076-1987, and
-is commonly referred as VHDL-87. This is certainly the most important version,
-since most of the VHDL tools are still based on this standard.
-Various problems of this first standard have been analyzed by experts groups
-to give reasonable ways of interpreting the unclear portions of the standard.
+@itemize -
-VHDL was revised in 1993 by IEEE as IEEE 1076-1993. This revision is still
-well-known.
+@item
+Search pattern:: @code{(.+?)}
-Unfortunately, VHDL-93 is not fully compatible with VHDL-87, i.e. some perfectly
-valid VHDL-87 programs are invalid VHDL-93 programs. Here are some of the
-reasons:
+@item
+Replace pattern:: @code{\1}
+@end itemize
+
+@item
+Each backend has one folder and each platform/compiler has one file. Please note that page headlines are different from ToC headline:
+
+@example
+.. toctree::
+ :hidden:
+
+ ToC entry <file1>
+ file2
+@end example
+
+@item
+Documentation should not use “you”, “we”, …, because it’s not an interactive conversation or informal letter. It’s like a thesis, everything is structured and formal. However, to make it more friendly to newcomers, we agree to allow informal language in the Quick Start Guide.
+
+@item
+Please keep errors to a minimum.
+@end enumerate
+@end quotation
+
+@menu
+* Guidelines to edit section ‘Building’::
+
+@end menu
+
+@node Guidelines to edit section ‘Building’,,,Guidelines to edit the documentation
+@anchor{development/CodingStyle guidelines-to-edit-section-building}@anchor{14b5}
+@subsection Guidelines to edit section ‘Building’
+
+
+We prefer a text block, which explains how a compilation works, what we can configure for that backend, etc. After that, we prefer a code block with e.g. bash instructions on how to compile a backend. A list of instructions with embedded bash lines is not helpful. An experienced, as well as novice user, would like to copy a set of instructions into the shell. But it should be stated what these instructions will do. Complex flows like for GCC, can be split into multiple shell code blocks. Moreover, we find it essential to demonstrate when and where to change directories.
+
+We would like to see a list like:
@itemize *
-@item
-the syntax of file declaration has changed (this is the most visible source
-of incompatibility),
+@item
+gcc (Gnu Compiler Collection)
-@item
-new keywords were introduced (group, impure, inertial, literal,
-postponed, pure, reject, rol, ror, shared, sla, sll, sra, srl,
-unaffected, xnor),
+@item
+gcc-gnat (Ada compiler for GCC)
-@item
-some dynamic behaviours have changed (the concatenation is one of them),
+@item
+llvm-del (LLVM development package)
-@item
-rules have been added.
+@item
+…
@end itemize
-Shared variables were replaced by protected types in the 2000 revision of
-the VHDL standard. This modification is also known as 1076a. Note that this
-standard is not fully backward compatible with VHDL-93, since the type of a
-shared variable must now be a protected type (there was no such restriction
-before).
-
-Minor corrections were added by the 2002 revision of the VHDL standard. This
-revision is not fully backward compatible with VHDL-00 since, for example,
-the value of the @cite{‘instance_name} attribute has slightly changed.
+The goal is also to explain what a user is installing and what the few lines in the build description do. Now they know the name, can search for similar names if they have another package manager or distro or can ask Google/Wikipedia. We often find many build receipts with cryptic shell code and to execute this unknown stuff with sudo is not comfortable. We would like to know what it does before hitting enter.
-The latest version is 2008. Many features have been added, and GHDL
-doesn’t implement all of them.
+@node Documentation configuration,,Guidelines to edit the documentation,Coding Style
+@anchor{development/CodingStyle documentation-configuration}@anchor{14b6}
+@section Documentation configuration
-You can select the VHDL standard expected by GHDL with the
-@code{--std=<STANDARD>} option, where @code{<STANDARD>} is one of the list below:
-@table @asis
+@itemize *
-@item 87
+@item
+Python snippet for Sphinx’s @cite{conf.py} to extract the current version number from Git (latest tag name). [#200@footnote{https://github.com/ghdl/ghdl/issues/200}, #221@footnote{https://github.com/ghdl/ghdl/issues/221}]
-Select VHDL-87 standard as defined by IEEE 1076-1987. LRM bugs corrected by
-later revisions are taken into account.
+@item
+Reference @code{genindex.html} from the navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
-@item 93
+@item
+Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
-Select VHDL-93; VHDL-87 file declarations are not accepted.
+@item
-@item 93c
+@table @asis
-Select VHDL-93 standard with relaxed rules:
+@item Intersphinx files [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
@itemize *
-@item
-VHDL-87 file declarations are accepted;
+@item
+To decompress the inventory file: @cite{curl -s http://ghdl.readthedocs.io/en/latest/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}.
-@item
-default binding indication rules of VHDL-02 are used. Default binding rules
-are often used, but they are particularly obscure before VHDL-02.
+@item
+External ref and link to section:
+
+@example
+:ref:`GHDL Roadmap <ghdl:CHANGE:Roadmap>`
+@end example
+
+@item
+External ref to option (no link):
+
+@example
+:ghdl:option:`--ieee`
+:option:`ghdl:--ieee`
+@end example
+@end itemize
+@end table
@end itemize
-@item 00
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-Select VHDL-2000 standard, which adds protected types.
+@c This data file has been placed in the public domain.
-@item 02
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-Select VHDL-2002 standard.
+@c This data file has been placed in the public domain.
-@item 08
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-Select VHDL-2008 standard (partially implemented).
-@end table
+@c # define a hard line break for HTML
-Multiple standards can be used in a design:
+@node Scripts,Overview,Coding Style,Top
+@anchor{development/Scripts doc}@anchor{14b7}@anchor{development/Scripts dev-scripts}@anchor{14b8}@anchor{development/Scripts scripts}@anchor{14b9}
+@chapter Scripts
-@multitable {xxxxxxx} {xxxxxxxxxxxxxxxxxx}
-@headitem
+@c #
+@c This files requires a Python module called 'Frontend-AutoProgram' to be
+@c located in the 'doc' root folder. It expects a variable 'parser' of type
+@c ArgumentParser.
-GROUP
+@menu
+* pnodes::
+* pnodespy::
-@tab
+@end menu
-VHDL Standard
+@node pnodes,pnodespy,,Scripts
+@anchor{development/Scripts cmdref-pnodes}@anchor{14ba}@anchor{development/Scripts pnodes}@anchor{14bb}
+@section pnodes
-@item
-87
+Meta-grammar processor
-@tab
+@example
+usage: pnodes [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
+ [--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
+ [--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
+ [--kind-prefix KIND_PREFIX] [--kind-range-prefix KIND_RANGE_PREFIX]
+ [--node-type NODE_TYPE] [--keep-order]
+ @{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
+@end example
-87
+@menu
+* positional arguments::
+* optional arguments: optional arguments<2>.
-@item
+@end menu
-93
+@node positional arguments,optional arguments<2>,,pnodes
+@anchor{development/Scripts positional-arguments}@anchor{14bc}
+@subsection positional arguments
-@tab
-93, 93c, 00, 02
+@geindex pnodes command line option; action
+@anchor{development/Scripts cmdoption-pnodes-arg-action}@anchor{14bd}
+@deffn {Option} action
+@end deffn
-@item
+@node optional arguments<2>,,positional arguments,pnodes
+@anchor{development/Scripts optional-arguments}@anchor{14be}
+@subsection optional arguments
-08
-@tab
+@geindex pnodes command line option; -h
+@geindex pnodes command line option; --help
+@anchor{development/Scripts cmdoption-pnodes-h}@anchor{14bf}@anchor{development/Scripts cmdoption-pnodes-help}@anchor{14c0}
+@deffn {Option} @w{-}h, @w{-}@w{-}help
-08
+show this help message and exit
+@end deffn
-@end multitable
+@geindex pnodes command line option; --field-file <field_file>
+@anchor{development/Scripts cmdoption-pnodes-field-file}@anchor{14c1}
+@deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
+specify file which defines fields
+@end deffn
-@cartouche
-@quotation Note
-The standards in each group are considered compatible: you can elaborate a design mixing these standards. However, standards of different groups are not compatible.
-@end quotation
-@end cartouche
+@geindex pnodes command line option; --kind-file <kind_file>
+@anchor{development/Scripts cmdoption-pnodes-kind-file}@anchor{14c2}
+@deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
-@node PSL implementation,Source representation,VHDL standards,Implementation of VHDL
-@anchor{references/ImplementationOfVHDL id2}@anchor{123}@anchor{references/ImplementationOfVHDL psl-implementation}@anchor{5b}
-@section PSL implementation
+specify file which defines nodes kind
+@end deffn
+@geindex pnodes command line option; --node-file <node_file>
+@anchor{development/Scripts cmdoption-pnodes-node-file}@anchor{14c3}
+@deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
-GHDL understands embedded PSL annotations in VHDL files, but not in
-separate files.
+specify file which defines nodes and methods
+@end deffn
-As PSL annotations are embedded within comments, you must analyze and elaborate
-your design with option @emph{-fpsl} to enable PSL annotations.
+@geindex pnodes command line option; --template-file <template_file>
+@anchor{development/Scripts cmdoption-pnodes-template-file}@anchor{14c4}
+@deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
-A PSL assertion statement must appear within a comment that starts
-with the @cite{psl} keyword. The keyword must be followed (on the
-same line) by a PSL keyword such as @cite{assert} or @cite{default}.
-To continue a PSL statement on the next line, just start a new comment.
+specify template body file
+@end deffn
-A PSL statement is considered a process, so it’s not allowed within
-a process.
+@geindex pnodes command line option; --meta-basename <meta_basename>
+@anchor{development/Scripts cmdoption-pnodes-meta-basename}@anchor{14c5}
+@deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
-All PSL assertions must be clocked (GHDL doesn’t support unclocked assertion).
-Furthermore only one clock per assertion is allowed.
+specify base name of meta files
+@end deffn
-You can either use a default clock like this:
+@geindex pnodes command line option; --kind-type <kind_type>
+@anchor{development/Scripts cmdoption-pnodes-kind-type}@anchor{14c6}
+@deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
-@example
--- psl default clock is rising_edge (CLK);
--- psl assert always
--- a -> eventually! b;
-@end example
+name of kind type
+@end deffn
+
+@geindex pnodes command line option; --kind-prefix <kind_prefix>
+@anchor{development/Scripts cmdoption-pnodes-kind-prefix}@anchor{14c7}
+@deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
+
+prefix for kind literals
+@end deffn
+
+@geindex pnodes command line option; --kind-range-prefix <kind_range_prefix>
+@anchor{development/Scripts cmdoption-pnodes-kind-range-prefix}@anchor{14c8}
+@deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
+
+prefix for kind subtype (range)
+@end deffn
+
+@geindex pnodes command line option; --node-type <node_type>
+@anchor{development/Scripts cmdoption-pnodes-node-type}@anchor{14c9}
+@deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
+
+name of the node type
+@end deffn
+
+@geindex pnodes command line option; --keep-order
+@anchor{development/Scripts cmdoption-pnodes-keep-order}@anchor{14ca}
+@deffn {Option} @w{-}@w{-}keep@w{-}order
+
+keep field order of nodes
+@end deffn
+
+@node pnodespy,,pnodes,Scripts
+@anchor{development/Scripts cmdref-pnodespy}@anchor{14cb}@anchor{development/Scripts pnodespy}@anchor{14cc}
+@section pnodespy
-or use a clocked expression (note the use of parentheses):
+
+Meta-grammar processor
@example
--- psl assert (always a -> next[3](b)) @@rising_edge (clk);
+usage: pnodespy [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
+ [--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
+ [--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
+ [--kind-prefix KIND_PREFIX] [--kind-range-prefix KIND_RANGE_PREFIX]
+ [--node-type NODE_TYPE] [--keep-order]
+ @{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
@end example
-Of course only the simple subset of PSL is allowed.
+@menu
+* positional arguments: positional arguments<2>.
+* optional arguments: optional arguments<3>.
-Currently the built-in functions are not implemented.
+@end menu
-@node Source representation,Library database,PSL implementation,Implementation of VHDL
-@anchor{references/ImplementationOfVHDL source-representation}@anchor{124}
-@section Source representation
+@node positional arguments<2>,optional arguments<3>,,pnodespy
+@anchor{development/Scripts id1}@anchor{14cd}
+@subsection positional arguments
-According to the VHDL standard, design units (i.e. entities,
-architectures, packages, package bodies, and configurations) may be
-independently analyzed.
+@geindex pnodespy command line option; action
+@anchor{development/Scripts cmdoption-pnodespy-arg-action}@anchor{14ce}
+@deffn {Option} action
+@end deffn
-Several design units may be grouped into a design file.
+@node optional arguments<3>,,positional arguments<2>,pnodespy
+@anchor{development/Scripts id2}@anchor{14cf}
+@subsection optional arguments
-In GHDL, a system file represents a design file. That is, a file compiled by
-GHDL may contain one or more design units.
-It is common to have several design units in a design file.
+@geindex pnodespy command line option; -h
+@geindex pnodespy command line option; --help
+@anchor{development/Scripts cmdoption-pnodespy-h}@anchor{14d0}@anchor{development/Scripts cmdoption-pnodespy-help}@anchor{14d1}
+@deffn {Option} @w{-}h, @w{-}@w{-}help
-GHDL does not impose any restriction on the name of a design file
-(except that the filename may not contain any control character or
-spaces).
+show this help message and exit
+@end deffn
-GHDL does not keep a binary representation of the design units analyzed like
-other VHDL analyzers. The sources of the design units are re-read when
-needed (for example, an entity is re-read when one of its architectures is
-analyzed). Therefore, if you delete or modify a source file of a unit
-analyzed, GHDL will refuse to use it.
+@geindex pnodespy command line option; --field-file <field_file>
+@anchor{development/Scripts cmdoption-pnodespy-field-file}@anchor{14d2}
+@deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
-@node Library database,Top entity,Source representation,Implementation of VHDL
-@anchor{references/ImplementationOfVHDL id3}@anchor{125}@anchor{references/ImplementationOfVHDL library-database}@anchor{126}
-@section Library database
+specify file which defines fields
+@end deffn
+@geindex pnodespy command line option; --kind-file <kind_file>
+@anchor{development/Scripts cmdoption-pnodespy-kind-file}@anchor{14d3}
+@deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
-Each design unit analyzed is placed into a design library. By default,
-the name of this design library is @code{work}; however, this can be
-changed with the @code{--work=} option of GHDL.
+specify file which defines nodes kind
+@end deffn
-To keep the list of design units in a design library, GHDL creates
-library files. The name of these files is @code{<LIB_NAME>-obj<GROUP>.cf}, where
-@cite{<LIB_NAME>} is the name of the library, and @cite{<GROUP>} the VHDL version (87,
-93 or 08) used to analyze the design units.
+@geindex pnodespy command line option; --node-file <node_file>
+@anchor{development/Scripts cmdoption-pnodespy-node-file}@anchor{14d4}
+@deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
-For details on @code{GROUP} values see section @ref{54,,VHDL standards}.
+specify file which defines nodes and methods
+@end deffn
-You don’t have to know how to read a library file. You can display it
-using the @emph{-d} of @cite{ghdl}. The file contains the name of the
-design units, as well as the location and the dependencies.
+@geindex pnodespy command line option; --template-file <template_file>
+@anchor{development/Scripts cmdoption-pnodespy-template-file}@anchor{14d5}
+@deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
-The format may change with the next version of GHDL.
+specify template body file
+@end deffn
-@node Top entity,Using vendor libraries,Library database,Implementation of VHDL
-@anchor{references/ImplementationOfVHDL id4}@anchor{127}@anchor{references/ImplementationOfVHDL top-entity}@anchor{3c}
-@section Top entity
+@geindex pnodespy command line option; --meta-basename <meta_basename>
+@anchor{development/Scripts cmdoption-pnodespy-meta-basename}@anchor{14d6}
+@deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
+specify base name of meta files
+@end deffn
-There are some restrictions on the entity being at the apex of a design
-hierarchy:
+@geindex pnodespy command line option; --kind-type <kind_type>
+@anchor{development/Scripts cmdoption-pnodespy-kind-type}@anchor{14d7}
+@deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
+name of kind type
+@end deffn
-@itemize *
+@geindex pnodespy command line option; --kind-prefix <kind_prefix>
+@anchor{development/Scripts cmdoption-pnodespy-kind-prefix}@anchor{14d8}
+@deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
-@item
-The generic must have a default value, and the value of a generic is its
-default value.
+prefix for kind literals
+@end deffn
-@item
-The ports type must be constrained.
-@end itemize
+@geindex pnodespy command line option; --kind-range-prefix <kind_range_prefix>
+@anchor{development/Scripts cmdoption-pnodespy-kind-range-prefix}@anchor{14d9}
+@deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
-@node Using vendor libraries,,Top entity,Implementation of VHDL
-@anchor{references/ImplementationOfVHDL using-vendor-libraries}@anchor{128}
-@section Using vendor libraries
+prefix for kind subtype (range)
+@end deffn
+@geindex pnodespy command line option; --node-type <node_type>
+@anchor{development/Scripts cmdoption-pnodespy-node-type}@anchor{14da}
+@deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
+
+name of the node type
+@end deffn
-Many vendors libraries have been analyzed with GHDL. There are
-usually no problems. Be sure to use the @code{--work=} option.
-However, some problems have been encountered.
+@geindex pnodespy command line option; --keep-order
+@anchor{development/Scripts cmdoption-pnodespy-keep-order}@anchor{14db}
+@deffn {Option} @w{-}@w{-}keep@w{-}order
-GHDL follows the VHDL LRM (the manual which defines VHDL) more
-strictly than other VHDL tools. You could try to relax the
-restrictions by using the @code{--std=93c}, @code{-fexplicit},
-@code{-frelaxed-rules} and @code{--warn-no-vital-generic}.
+keep field order of nodes
+@end deffn
-@c # preload commonly known graphical characters like (c)
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -5636,113 +47980,115 @@ restrictions by using the @code{--std=93c}, @code{-fexplicit},
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c This data file has been placed in the public domain.
-@node Implementation of VITAL,Roadmap | Future Improvements,Implementation of VHDL,Top
-@anchor{references/ImplementationOfVITAL doc}@anchor{129}@anchor{references/ImplementationOfVITAL implementation-of-vital}@anchor{12a}@anchor{references/ImplementationOfVITAL ref-implvital}@anchor{11}
-@chapter Implementation of VITAL
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c # define a hard line break for HTML
-@geindex VITAL
+@node Overview,Front-end,Scripts,Top
+@anchor{internals/Overview doc}@anchor{14dc}@anchor{internals/Overview int-overview}@anchor{13d}@anchor{internals/Overview overview}@anchor{14dd}
+@chapter Overview
-@geindex IEEE 1076.4
-@geindex 1076.4
+@image{GHDL-figures/internals,,,,png}
-This chapter describes how VITAL is implemented in GHDL. Support of VITAL is
-really in a preliminary stage. Do not expect too much of it as of right now.
+@cite{GHDL} is architectured like a traditionnal compiler. It has:
-@menu
-* VITAL packages::
-* VHDL restrictions for VITAL::
-* Backannotation::
-* Negative constraint calculation::
-@end menu
+@itemize *
-@node VITAL packages,VHDL restrictions for VITAL,,Implementation of VITAL
-@anchor{references/ImplementationOfVITAL id1}@anchor{12b}@anchor{references/ImplementationOfVITAL vital-packages}@anchor{56}
-@section VITAL packages
+@item
+a driver (sources in src/ghdldrv@footnote{https://github.com/ghdl/ghdl/blob/master/src/ghdldrv}) to call the programs (compiler, assembler, linker) if needed.
+@item
+a runtime library named GRT (sources in src/grt@footnote{https://github.com/ghdl/ghdl/blob/master/src/grt}) to help execution at run-time. This ensures that execution is cycle-accurate.
-The VITAL standard or IEEE 1076.4 was first published in 1995, and revised in
-2000.
+@item
+a front-end (sources in src/vhdl@footnote{https://github.com/ghdl/ghdl/blob/master/src/vhdl}) to parse and analyse VHDL. See @ref{14de,,Front-end} and @ref{14df,,AST}.
-The version of the VITAL packages depends on the VHDL standard. VITAL
-1995 packages are used with the VHDL 1987 standard, while VITAL 2000
-packages are used with other standards. This choice is based on the
-requirements of VITAL: VITAL 1995 requires the models follow the VHDL
-1987 standard, while VITAL 2000 requires the models follow VHDL 1993.
+@item
+multiple back-ends to generate either code or netlists.
-The VITAL 2000 packages were slightly modified so that they conform to
-the VHDL 1993 standard (a few functions are made pure and a few
-impure).
-@node VHDL restrictions for VITAL,Backannotation,VITAL packages,Implementation of VITAL
-@anchor{references/ImplementationOfVITAL id2}@anchor{12c}@anchor{references/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{5e}
-@section VHDL restrictions for VITAL
+@itemize *
+@item
+Three for @ref{c4,,simulation} (sources are in src/ortho@footnote{https://github.com/ghdl/ghdl/blob/master/src/ortho}): mcode, LLVM and GCC. See @ref{4b,,Building GHDL from Sources}.
-The VITAL standard (partially) implemented is the IEEE 1076.4 standard
-published in 1995.
+@item
+Two for @ref{44,,synthesis} (sources in src/synth@footnote{https://github.com/ghdl/ghdl/blob/master/src/synth}): @ref{137,,–synth} and @ref{66,,Yosys plugin}.
+@end itemize
+@end itemize
-This standard defines restriction of the VHDL language usage on VITAL
-model. A @emph{VITAL model} is a design unit (entity or architecture)
-decorated by the @cite{VITAL_Level0} or @cite{VITAL_Level1} attribute.
-These attributes are defined in the @cite{ieee.VITAL_Timing} package.
+The architecture is modular. For example, the front-end in the @code{libghdl} library is used for the language server.
-Currently, only VITAL level 0 checks are implemented. VITAL level 1 models
-can be analyzed, but GHDL doesn’t check they comply with the VITAL standard.
+The main work is performed by the front-end, which is documented in the next chapter.
-Moreover, GHDL doesn’t check (yet) that timing generics are not read inside
-a VITAL level 0 model prior the VITAL annotation.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-The analysis of a non-conformant VITAL model fails. You can disable the
-checks of VITAL restrictions with the @emph{–no-vital-checks}. Even when
-restrictions are not checked, SDF annotation can be performed.
+@c This data file has been placed in the public domain.
-@node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,Implementation of VITAL
-@anchor{references/ImplementationOfVITAL backannotation}@anchor{a3}@anchor{references/ImplementationOfVITAL id3}@anchor{12d}
-@section Backannotation
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+@c This data file has been placed in the public domain.
-@geindex SDF
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@emph{Backannotation} is the process of setting VITAL generics with timing
-information provided by an external files.
+@c # define a hard line break for HTML
-The external files must be SDF (Standard Delay Format) files. GHDL
-supports a tiny subset of SDF version 2.1. Other version numbers can be
-used, provided no features added by later versions are used.
+@node Front-end,AST,Overview,Top
+@anchor{internals/Frontend doc}@anchor{14e0}@anchor{internals/Frontend front-end}@anchor{14e1}@anchor{internals/Frontend int-frontend}@anchor{14de}
+@chapter Front-end
-Hierarchical instance names are not supported. However you can use a list of
-instances. If there is no instance, the top entity will be annotated and
-the celltype must be the name of the top entity. If there is at least one
-instance, the last instance name must be a component instantiation label, and
-the celltype must be the name of the component declaration instantiated.
-Instances being annotated are not required to be VITAL compliant. However
-generics being annotated must follow rules of VITAL (e.g., type must be a
-suitable vital delay type).
+Input files (or source files) are read by @cite{files_map.ad[sb]}. Only regular files can be
+read, because they are read entirely before being scanned. This simplifies the scanner,
+but this also allows to have a unique index for each character in any file.
+Therefore the source location is a simple 32-bit integer whose type is @cite{Location_Type}.
+From the location, @cite{files_map} can deduce the source file (type is @cite{Source_File_Entry})
+and then the offset in the source file. There is a line table for each source file in
+order to speed-up the conversion from file offset to line number and column number.
-Currently, only timing constraints applying on a timing generic of type
-@cite{VitalDelayType01} has been implemented. This SDF annotator is
-just a proof of concept. Features will be added with the following GHDL
-release.
+The scanner (file @code{vhdl-scanner.ad[sb]}) reads the source files and creates token
+from them. The tokens are defined in file @code{vhdl-tokens.ads}. Tokens are scanned
+one by one, so the scanner doesn’t keep in memory the previous token. Integer or
+floating point numbers are special tokens because beside the token itself there is
+also a variable for the value of the number.
-@node Negative constraint calculation,,Backannotation,Implementation of VITAL
-@anchor{references/ImplementationOfVITAL negative-constraint-calculation}@anchor{12e}
-@section Negative constraint calculation
+For identifiers there is a table containing all identifiers. This is implemented by
+file @code{name_table.ad[sb]}. Each identifier is associated to a 32-bit number
+(they are internalized). So the number is used to reference an identifier. About
+one thousand identifiers are predefined (by @code{std_names.ad[sb]}). Most of
+them are reserved identifiers (or keywords). When the scanner find an identifier, it
+checks if it is a keyword. In that case it changes the token to the keyword token.
+The procedure @cite{scan} is called to get the next token. The location of the token and
+the location after the token are available to store it in the parser tree.
-Negative constraint delay adjustments are necessary to handle negative
-constraints such as a negative setup time. This step is defined in the VITAL
-standard and should occur after backannotation.
+The main client of the scanner is the parser.
-GHDL does not do negative constraint calculation. It fails to handle models
-with negative constraint. I hope to be able to add this phase soon.
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
-@c # preload commonly known graphical characters like (c)
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -5751,41 +48097,199 @@ with negative constraint. I hope to be able to add this phase soon.
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
-@node Roadmap | Future Improvements,Meta,Implementation of VITAL,Top
-@anchor{appendix/Roadmap doc}@anchor{12f}@anchor{appendix/Roadmap change-roadmap}@anchor{130}@anchor{appendix/Roadmap roadmap-future-improvements}@anchor{131}
-@chapter Roadmap | Future Improvements
+@node AST,Run Time Information RTI,Front-end,Top
+@anchor{internals/AST doc}@anchor{14e2}@anchor{internals/AST ast}@anchor{14e3}@anchor{internals/AST int-ast}@anchor{14df}
+@chapter AST
-We have several axes for @cite{GHDL} improvements:
+@menu
+* Introduction::
+* The AST in GHDL::
+* Why a meta-model ?::
+* Dealing with ownership::
+* Node Type::
+
+@end menu
+
+@node Introduction,The AST in GHDL,,AST
+@anchor{internals/AST introduction}@anchor{14e4}
+@section Introduction
+
+
+The AST is the main data structure of the front-end and is created by the parser.
+
+AST stands for Abstract Syntax Tree.
+
+This is a tree because it is a graph with nodes and links between nodes. As the graph
+is acyclic and each node but the root has only one parent (the link that points to it).
+In the front-end there is only one root which represents the set of libraries.
+
+The tree is a syntax tree because it follows the grammar of the VHDL language: there
+is, for example, a node per operation (like @cite{or}, @cite{and} or @cite{+}), a node per declaration,
+a node per statement, and a node per design unit (like entity or architecture). The front-end needs to represent the source file using the grammar because most of the
+VHDL rules are defined according to the grammar.
+
+Finally, the tree is abstract because it is an abstraction of the source file. Comments and layout aren’t kept in the syntax tree. Furthermore, if you rename a
+declaration or change the value of a literal, the tree will have exactly the same
+shape.
+
+But we can also say that the tree is neither abstract, nor syntaxic and nor a tree.
+
+It is not abstract because it contains all the information from the source file
+(except comments) are available in the AST, inclusing the location. So the source
+file can be reprinted (the name unparsed is also used) from the AST. If a mechanism
+is also added to deal with comments, the source file can even be pretty-printed from
+the AST.
+
+It is not purely syntactic because the semantic analysis pass decorates the tree
+with semantic information. For example, the type of each expression and sub-expression
+is computed. This is necessary to detect some semantic errors like assigning an array
+to an integer.
+
+Finally, it is not a tree anymore because new links are added during semantic
+analysis. Simple names are linked to their declaration.
+
+@node The AST in GHDL,Why a meta-model ?,Introduction,AST
+@anchor{internals/AST the-ast-in-ghdl}@anchor{14e5}
+@section The AST in GHDL
+
+
+The GHDL AST is described in file @code{vhdl-nodes.ads}.
+
+An interesting particularity about the AST is the presence of a
+meta-model.
+
+The meta-model is not formally described. What the
+meta-meta-model would be is very simple: there are elements and attributes. An
+element is composed of attributes, and an attribute is either a value
+(a flag, an integer, an enumeration) or a link to an element.
+
+(When someone wants to be clever, they often speak about meta-model in
+order to confuse you. Don’t let them impress you. The trick is to
+answer them with any sentence containing ‘meta-meta-model’).
+
+In the GHDL meta-model, there are only 3 elements:
@itemize *
-@item
-Documentation
+@item
+variable list of nodes (@cite{List}). These are like vectors as the
+length can be changed.
-@item
-Better diagnostics messages (warning and error)
+@item
+Fixed lists of nodes (@cite{Flist}). The length of a fixed list is defined at creation.
-@item
-Full support of VHDL-2008
+@item
+Nodes. A node has a kind (@cite{Iir_Kind} which is also defined in the file), and fields.
+The kind is set at creation and cannot be changed, while fields can be.
+@end itemize
-@item
-Optimization (simulation speed)
+Or without using the word “meta-model”, the AST is composed of nodes and
+lists.
-@item
-Graphical tools (to see waves and to debug)
+The meta-model describes the types of the attributes: most of them are
+either a node reference, a boolean flag or a enumerated type (like
+@cite{Iir_Staticness}). But there are also links: a reference to another
+node or to a list.
-@item
-Style checks
+The accessors for the node are generated automatically by the python
+script @code{src/scripts/pnodes.py}.
-@item
-VITAL acceleration
+@node Why a meta-model ?,Dealing with ownership,The AST in GHDL,AST
+@anchor{internals/AST why-a-meta-model}@anchor{14e6}
+@section Why a meta-model ?
+
+
+All ASTs could have a meta-model, because the definition of elements
+and attributes is very generic. But there is a detail: the definition
+of an element is static. So for each node, the list of attributes and
+their types is static and each list is a list of the same element type.
+So there is no bag, nor dynamic typing. This is per the definition of
+the meta-meta-model.
+
+But in GHDL there is an API at the meta-model level in file
+@code{vhdl-nodes_meta.ads}. There is the list of all attribute types
+in enumeration @cite{Types_Enum}. There is the list of all possible
+attributes in enumeration @cite{Fields_Enum}. For a particular kind of
+node, you can get the list of fields with @cite{Get_Field} and for every
+type, there is API to get or set any field of any node.
+
+Having a meta-model API allows to build algorithm that deals with any
+node. The dumper (in file @code{vhdl-disp_tree.ad[sb]}) is used to
+dump a node and possibly its sub-nodes. This is very useful while
+debugging GHDL. It is written using the meta-model, so it knows how to display
+a boolean and the various other enumerated types, and how to display a list. To
+display a node, it just gets the kind of the type, prints the kind name and queries
+all the fields of the node. There is nothing particular to a specific kind, so you
+don’t need to modify the dumper if you add a node.
+
+The dumper wouldn’t be a strong enough reason by itself to have a meta-model. But
+the pass to create instances is a good one. When a vhdl-2008 package is instantiated,
+at least the package declaration is created in the AST (this is needed because there
+are possibly new types). And creating an instance using the meta-model is much
+simpler (and much more generic) that creating the instance using the nodes directly.
+The code to create instances is in files @code{vhdl-sem_inst.ad[sb]}.
+
+The meta-model API is mostly automatically generated by the python
+script.
+
+@node Dealing with ownership,Node Type,Why a meta-model ?,AST
+@anchor{internals/AST dealing-with-ownership}@anchor{14e7}
+@section Dealing with ownership
+
+
+The meta-model also structures the tree, because there is a notion of
+ownership: every element (but the root) has only one parent that owns
+it, and there are no cycle in the ownership. So the tree is really a
+tree.
+
+That simplifies algorithms because it is easier to walk a tree than a
+graph. It is also easier to free a sub-tree than a sub-graph.
+
+Getting a real tree from the parser might look obvious, but it is
+not. Consider the following VHDL declaration:
+
+@example
+variable v1, v2 : std_logic_vector (1 downto 0) := "00";
+@end example
+
+Both variables @code{v1} and @code{v2} share the same type and the same
+initial value. The GHDL AST uses two different strategies:
+
+
+@itemize *
+
+@item
+For the type, there are two fields in the node:
+@code{subtype_indication} and @code{type}. The @code{subtype_indication} is
+owned and set only on the first variable to the output of the
+parser. The @code{type} field is a reference and set on all variables
+to the result of analysis of @code{subtype_indication}.
+
+@item
+For the initial value, there is only one field @code{default_value}
+that is set on all variables. But the ownership is controlled by a
+flag in the node (an attribute) named @code{is_ref}. It is set to
+false on the first variable and true for the others.
@end itemize
-@c # preload commonly known graphical characters like (c)
+The notion of ownership is highlighted by the Rust language, and
+indeed this is an important notion. The implementation of the Rust
+AST has to be investigated.
+
+@node Node Type,,Dealing with ownership,AST
+@anchor{internals/AST node-type}@anchor{14e8}
+@section Node Type
+
+
+TBC: 32-bit, extensions.
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@c This data file has been placed in the public domain.
@@ -5794,196 +48298,693 @@ VITAL acceleration
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c This data file has been placed in the public domain.
-@node Meta,Index<2>,Roadmap | Future Improvements,Top
-@anchor{appendix/Meta doc}@anchor{132}@anchor{appendix/Meta meta}@anchor{133}
-@chapter Meta
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+@node Run Time Information RTI,GNATdoc,AST,Top
+@anchor{internals/RTI doc}@anchor{14e9}@anchor{internals/RTI run-time-information-rti}@anchor{14ea}
+@chapter Run Time Information (RTI)
+
+
+@cartouche
+@quotation Warning
+This section was written while trying to understand how the RTI is
+organized. It almost certainly contains errors, and it likely
+won’t be updated with the codebase, so don’t belive any of the
+information here. Nevertheless, it may be helpful for a developer new
+to GHDL trying to understand the organization of the RTI.
+@end quotation
+@end cartouche
@menu
-* General guidelines to edit the documentation::
-* Guidelines to edit section ‘Building’::
-* Documentation configuration::
-* Dist::
+* Useful Definitions::
+* RTI Nodes / RTII Nodes::
@end menu
-@node General guidelines to edit the documentation,Guidelines to edit section ‘Building’,,Meta
-@anchor{appendix/Meta general-guidelines-to-edit-the-documentation}@anchor{134}
-@section General guidelines to edit the documentation
+@node Useful Definitions,RTI Nodes / RTII Nodes,,Run Time Information RTI
+@anchor{internals/RTI useful-definitions}@anchor{14eb}
+@section Useful Definitions
+RTI
+
@quotation
+Run Time Information. The information that is used when simulating the design.
+@end quotation
-@enumerate
+RTIN
-@item
-It’s better for version control systems and diff tools to break lines at a sensible number of characters. Long lines appear as one diff. Also merging is more complex because merges are line based. Long unbreakable items may be longer (links, refs, etc.). We chose to use 160 chars.
+@quotation
-@item
-Please indent all directive content by 3 spaces (not 2, and no tabs).
+Run Time Information Node. The design is organized into a directed
+graph where the architectures, signals and statements are represented
+as nodes on the graph. This graph can be cyclic since an architecture
+may be instantiated many times, and could be recursively
+instantiated.
+@end quotation
-@item
-Please use @code{*} as an itemize character, since @code{-} and @code{+} are supported by docutils, but not officially supported by Sphinx.
+Context
-@item
-Please underline all headlines with at least as many characters as the headline is long. Following the Python pattern for headlines the levels are:
+@quotation
-@example
-############
-************ (sometimes skipped in small documents)
-============
--------------------
-‘’’’’’’’’’’’’’’’’’’’’’’’
-@end example
+The context of a node is the position in the elaborated design. For
+example a architecture might be instantiated 4 times in a design, but
+will have a single RTI node. The data related to that node but
+specfic to particular instantiation has an address in memory. A
+context object (@cite{Rti_Context}) bundles an RTI node with an address for
+it’s instantiation data. If this RTI node references another RTI node we
+can find the relevant instantiation data for that node by considering the
+context.
+@end quotation
-@item
-It’s not required to write
+RTII
-@example
-:samp:`code`
-@end example
+@quotation
+
+Run Time Instance Information. This is a record that groups an RTI
+node with any other data necessary to specify a particular
+instantiation. The RTII objects create a tree that represents the
+elaborated design. Currently they are only implemented for RTIN nodes
+of signals, ports, generics, constants and their subcomponents.
+@end quotation
+
+@node RTI Nodes / RTII Nodes,,Useful Definitions,Run Time Information RTI
+@anchor{internals/RTI rti-nodes-rtii-nodes}@anchor{14ec}
+@section RTI Nodes / RTII Nodes
-The default role for
+
+All RTI node records have a @cite{Ghdl_Rtin_Common} record as their first
+element. This record contains the following elements:
+
+Kind : Ghdl_Rtik
@quotation
-@example
-`@w{`}code`@w{`}
-@end example
+This specified what kind of node it is. For example a @cite{process} and
+an @cite{entity} node are both represented by @cite{Ghdl_Rtin_Block} records
+but they are distinguished by having a different Kind.
@end quotation
-is samp. @code{:samp:} is only required when you want to write italic text in code text.
+Depth : Ghdl_Rti_Depth
@quotation
-@example
-:samp:`print 1+@{variable@}`
-@end example
+The depth indicates the relationship between the RTI Node and the RTI
+Context. Child nodes often just use the same Context as their parent,
+and the depth indicates how far up in the hierarchy you go to find
+where the Context is based.
@end quotation
-Now, variable becomes italic.
+Mode : Ghdl_Rti_U8
-Please simplify all usages of @code{:samp:`code`} to @code{`@w{`}code`@w{`}} for readability. Here are the regular expressions for an editor like Notepad++:
+@quotation
+??
+@end quotation
-@itemize -
+Max_Depth : Ghdl_Rti_Depth
-@item
-Search pattern:: @code{(.+?)}
+@quotation
-@item
-Replace pattern:: @code{\1}
-@end itemize
+??
+@end quotation
-@item
-Each backend has one folder and each platform/compiler has one file. Please note that page headlines are different from ToC headline:
+It’s also useful to look at some of the other elements that commonly
+appear in the different RTI Node records.
-@example
-.. toctree::
- :hidden:
+Loc : Ghdl_Rti_Loc
- ToC entry <file1>
- file2
-@end example
+@quotation
-@item
-Documentation should not use “you”, “we”, …, because it’s not an interactive conversation or informal letter. It’s like a thesis, everything is structured and formal. However, to make it more friendly to newcomers, we agree to allow informal language in the section @ref{d,,Quick Start Guide}.
+This is an address offset. It tells us where the instantiation data
+for this node is located relative to the data of it’s parent.
+@end quotation
-@item
-Please keep errors to a minimum.
-@end enumerate
+Linecol : Ghdl_Index_Type
+
+@quotation
+
+Refers back to a location in the source code.
@end quotation
-@node Guidelines to edit section ‘Building’,Documentation configuration,General guidelines to edit the documentation,Meta
-@anchor{appendix/Meta guidelines-to-edit-section-building}@anchor{135}
-@section Guidelines to edit section ‘Building’
+Parent : Ghdl_Rti_Access
+@quotation
-We prefer a text block, which explains how a compilation works, what we can configure for that backend, etc. After that, we prefer a code block with e.g. bash instructions on how to compile a backend. A list of instructions with embedded bash lines is not helpful. An experienced, as well as novice user, would like to copy a set of instructions into the shell. But it should be stated what these instructions will do. Complex flows like for GCC, can be split into multiple shell code blocks. Moreover, we find it essential to demonstrate when and where to change directories.
+Points to the parent. This isn’t necessarily the parent in the RTI
+tree though. For example the @cite{Parent} of an architecture RTI node
+points at the entity node, however the parent in the tree is the
+instance RTI.
+@end quotation
-We would like to see a list like:
+This document will now review that main classes of RTI Nodes.
+@menu
+* Architecture RTI (Ghdl_Rtin_Block): Architecture RTI Ghdl_Rtin_Block.
+* Entity RTI (Ghdl_Rtin_Block): Entity RTI Ghdl_Rtin_Block.
+* Other Blocks (Package/Process) (Ghdl_Rtin_Block): Other Blocks Package/Process Ghdl_Rtin_Block.
+* if_generate / case_generate (Ghdl_Rtin_Block): if_generate / case_generate Ghdl_Rtin_Block.
+* for_generate (Ghdl_Rtin_Generate): for_generate Ghdl_Rtin_Generate.
+* instance (Ghdl_Rtin_Instance): instance Ghdl_Rtin_Instance.
+* Port (Ghdl_Rtin_Object): Port Ghdl_Rtin_Object.
+* Array Kinds::
+* Object_To_Base_Bound::
+* Array_Type (Ghdl_Rtin_Type_Array): Array_Type Ghdl_Rtin_Type_Array.
+* Array Subtype (Ghdl_Rtin_Subtype_Array): Array Subtype Ghdl_Rtin_Subtype_Array.
+* Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object): Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object.
+* Record Kinds::
+* Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record.
+* Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record<2>.
+* Element Type (Ghdl_Rtin_Element): Element Type Ghdl_Rtin_Element.
+* Examples::
-@itemize *
+@end menu
-@item
-gcc (Gnu Compiler Collection)
+@node Architecture RTI Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,,RTI Nodes / RTII Nodes
+@anchor{internals/RTI architecture-rti-ghdl-rtin-block}@anchor{14ed}
+@subsection Architecture RTI (Ghdl_Rtin_Block)
-@item
-gcc-gnat (Ada compiler for GCC)
-@item
-llvm-del (LLVM development package)
+The architecture acts as a simple container for it’s children. Create
+the child tree nodes by looping through @cite{Ghdl_Rti_Block.Children} and
+keeping the context unchanged.
-@item
-…
-@end itemize
+The information about the generics and ports access the entity RTI
+nodes through @cite{Ghdl_Rti_Block.Parent} using the same context.
-The goal is also to explain what a user is installing and what the few lines in the build description do. Now they know the name, can search for similar names if they have another package manager or distro or can ask Google/Wikipedia. We often find many build receipts with cryptic shell code and to execute this unknown stuff with sudo is not comfortable. We would like to know what it does before hitting enter.
+The instantiation data of an architecture contains a single item, a
+pointer to the RTI node. This is necessary because it is necessary to
+store which of the possible architectures of this entity was
+instantiated.
-@node Documentation configuration,Dist,Guidelines to edit section ‘Building’,Meta
-@anchor{appendix/Meta documentation-configuration}@anchor{136}
-@section Documentation configuration
+@node Entity RTI Ghdl_Rtin_Block,Other Blocks Package/Process Ghdl_Rtin_Block,Architecture RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
+@anchor{internals/RTI entity-rti-ghdl-rtin-block}@anchor{14ee}
+@subsection Entity RTI (Ghdl_Rtin_Block)
+The RTI of an entity is a @cite{Ghdl_Rti_Block} record (the same as the
+architecture) and uses the same context as the architecture. It is
+accessed via the architecture’s @cite{Parent} element. The generics and
+ports can be accessed as the children of the entity.
-@itemize *
+@node Other Blocks Package/Process Ghdl_Rtin_Block,if_generate / case_generate Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
+@anchor{internals/RTI other-blocks-package-process-ghdl-rtin-block}@anchor{14ef}
+@subsection Other Blocks (Package/Process) (Ghdl_Rtin_Block)
-@item
-Python snippet for Sphinx’s @cite{conf.py} to extract the current version number from Git (latest tag name). [#200@footnote{https://github.com/ghdl/ghdl/issues/200}, #221@footnote{https://github.com/ghdl/ghdl/issues/221}]
-@item
-Reference @code{genindex.html} from the navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
+The block just loops over it’s children.
+
+@node if_generate / case_generate Ghdl_Rtin_Block,for_generate Ghdl_Rtin_Generate,Other Blocks Package/Process Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
+@anchor{internals/RTI if-generate-case-generate-ghdl-rtin-block}@anchor{14f0}
+@subsection if_generate / case_generate (Ghdl_Rtin_Block)
+
+
+If-Generate and Case-Generate statements are represented with
+@cite{Ghdl_Rtin_Block} records with Kind @cite{Ghdl_Rtik_If_Generate} and
+@cite{Ghdl_Rtik_Case_Generate}.
+
+Their children are all of Kind @cite{Ghdl_Rtik_Body}, and represent the
+different possible blocks that could be selected.
+
+The instantiation data of a if_generate or case_generate RTI contains two items:
+1) A pointer to the context of the selected generate body (instance_pointer).
+2) The index of the selected child (block_id)
+
+The child node is then created from the RTI node
+@cite{Ghdl_Rtik_Body.Children(block_id)} combined with the instantiation data given by
+@cite{instance_pointer}.
+
+@node for_generate Ghdl_Rtin_Generate,instance Ghdl_Rtin_Instance,if_generate / case_generate Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
+@anchor{internals/RTI for-generate-ghdl-rtin-generate}@anchor{14f1}
+@subsection for_generate (Ghdl_Rtin_Generate)
+
+
+For-Generate statements are represented with @cite{Ghdl_Rtin_Generate}
+records with Kind @cite{Ghdl_Rtik_For_Generate}.
+
+Their RTI-node structure is different from the @cite{Ghdl_Rtin_Block}
+record in that rather than having @cite{Nbr_Child} and @cite{Children} elements,
+it has:
+
+Child : Ghdl_Rti_Access
+
+@quotation
+
+A pointer to the generate body node that is their only child.
+@end quotation
+
+Size : Ghdl_Index_Type
+
+@quotation
+
+The amount of memory requrired for the context of their child.
+@end quotation
+
+The Child element is a generate body. There is only a single RTI-node
+structure which Child points to, however a different context is used
+each time we go around the for-generate loop.
+
+The context of a for_generate RTI contains a single item: An address
+which points at the contexts for it’s children.
+
+Each time we go around the for generate loop we increment the address
+of the context by @cite{Size} so we looking at the correct context for that
+instantiation of the contexts of the loop.
+
+One complexity of the for-generate is finding the number of times that
+we go around the loop. The first element in the child generate body is
+an iterator. That iterator has a type and we can get the bounds of
+that type by passing it the local context. The type of the iterator
+for the for-generate loop is implicitly created and placed directly
+before the for_generate block, so using the local context will work.
+There might be a bug if the for-generate loop uses a type that wasn’t
+defined implicitly.
+
+@node instance Ghdl_Rtin_Instance,Port Ghdl_Rtin_Object,for_generate Ghdl_Rtin_Generate,RTI Nodes / RTII Nodes
+@anchor{internals/RTI instance-ghdl-rtin-instance}@anchor{14f2}
+@subsection instance (Ghdl_Rtin_Instance)
+
+
+An instantiation of an entity is represented by a @cite{Ghdl_Rtin_Instance}
+node with Kind @cite{Ghdl_Rtik_Instance}.
+
+The context contains a single item, which is a pointer to the context
+of the architecture. The architecture context also contains a single
+item, which is a pointer to the architecture RTI Node.
+
+@node Port Ghdl_Rtin_Object,Array Kinds,instance Ghdl_Rtin_Instance,RTI Nodes / RTII Nodes
+@anchor{internals/RTI port-ghdl-rtin-object}@anchor{14f3}
+@subsection Port (Ghdl_Rtin_Object)
+
+
+@node Array Kinds,Object_To_Base_Bound,Port Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
+@anchor{internals/RTI array-kinds}@anchor{14f4}
+@subsection Array Kinds
-@item
-Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
-@item
@table @asis
-@item Intersphinx files [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
+@item Ghdl_Rtik_Type_Array
+A VHDL array where the range is not specified.
-@itemize *
+@item Ghdl_Rtik_Subtype_Array
-@item
-To decompress the inventory file: @cite{curl -s http://ghdl.readthedocs.io/en/latest/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}.
+A VHDL array where the range is specified.
+A Type_Array together with the bounds.
+@end table
+
+@node Object_To_Base_Bound,Array_Type Ghdl_Rtin_Type_Array,Array Kinds,RTI Nodes / RTII Nodes
+@anchor{internals/RTI object-to-base-bound}@anchor{14f5}
+@subsection Object_To_Base_Bound
+
+
+This function takes an object type and an object’s static context
+location and returns the complex context location and the bounds.
+
+When the object is static the bounds is null (because the bounds
+are held in the type definition) and the complex context is the
+same as the static context.
+
+When the object is complex the bounds is null, and the static
+context location contains a pointer to the complex context
+location.
+
+When the object is unbound the static context contains a @cite{Ghdl_Uc_Array}
+record. The contains @cite{Bounds} which points to the bounds, and @cite{Base}
+which points to the complex context location.
+
+@node Array_Type Ghdl_Rtin_Type_Array,Array Subtype Ghdl_Rtin_Subtype_Array,Object_To_Base_Bound,RTI Nodes / RTII Nodes
+@anchor{internals/RTI array-type-ghdl-rtin-type-array}@anchor{14f6}
+@subsection Array_Type (Ghdl_Rtin_Type_Array)
+
+
+Contains Common and Name fields followed by:
+
+
+@table @asis
+
+@item Element : Ghdl_Rti_Access
+
+The type of the elements in the array.
+
+@item Nbr_Dim : Ghdl_Index_Type
+
+The number of dimensions in the array.
+Multidimensional arrays are not stored as arrays of arrays,
+but rather directly as multidimensional arrays.
+
+@item Indexes : Ghdl_Rti_Arr_Acc
+
+??? This is an array of the indices for each dimension, but I don’t
+know what kind of object they are represented by yet.
+@end table
+
+Functions acting on types don’t seem to use context in the same way.
+The functions are often pass the RTI object, a context (of a object
+higher in the hierarcy, and a pointer to a local context (often called
+layout)).
+
+The context of an Array Type has a defined structure which is @cite{Ghdl_Uc_Array}.
+This contains a @cite{Base} and a @cite{Bounds} field.
+
+
+@table @asis
+
+@item Base : Address
+
+Points to the complex context of the object.
+
+@item Bounds : Address
+
+Points to the bounds of the array.
+@end table
+
+@node Array Subtype Ghdl_Rtin_Subtype_Array,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Array_Type Ghdl_Rtin_Type_Array,RTI Nodes / RTII Nodes
+@anchor{internals/RTI array-subtype-ghdl-rtin-subtype-array}@anchor{14f7}
+@subsection Array Subtype (Ghdl_Rtin_Subtype_Array)
+
+
+Array subtypes are represented by the @cite{Ghdl_Rtin_Subtype_Composite}
+RTI node.
+The node contains the @cite{Common} and @cite{Name} fields, followed by
+
+
+@table @asis
+
+@item Basetype : Ghdl_Rti_Access
+
+A pointer to the RTI array type which it is a subtype of.
+
+@item Layout : Ghdl_Rti_Loc
+
+A pointer to the context of the subtype relative to the parent context.
+The layout contains:
+a value size, a signal sizes, and the bounds.
+@end table
+
+@node Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Record Kinds,Array Subtype Ghdl_Rtin_Subtype_Array,RTI Nodes / RTII Nodes
+@anchor{internals/RTI port-signal-generic-constant-variable-ghdl-rtin-object}@anchor{14f8}
+@subsection Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object)
+
+
+The context of an object is found by taking offsetting the Context by
+the @cite{Loc} field on the object. The implementation often uses the same
+Context for a group of hierarhical signals, so that the determination
+of the location of the context of objects in the hierarchy must be
+found using a function such as @cite{Loc_To_Addr}.
+
+The @cite{Obj_Type} field of an object points at the type of the object.
+
+A signal definition can also include placing bounds on a unbounded
+type.
+
+The tree of an object can be created by pairing the hierarchy of types
+with the hierarchy of contexts.
+
+
+@table @asis
+
+@item If the type is a scalar type then the value of the object is found at:
+
+
+@table @asis
+
+@item If the object is a port or signal then the only item in the context
+
+is a pointer to the signal object. The first item in the signal object
+is a pointer to the value.
+
+@item If the object is a constant, generic or variable then the context
+
+contains a pointer to the value itself.
+@end table
+
+@item If the type is an unbound array:
+
+We must be at the top level of a hierarchical object.
+The context contains a pointer to the first element context,
+and a pointer to the bounds.
+
+@item If the type is a static array:
+
+The context is the same as the context of the first element.
+The bounds are given in the layout of the type (composite).
+
+@item If the type is a complex array:
+
+The context contains a pointer to the context of the first element.
+Because the size of the context cannot be determined at compile time
+this layer of indirection is necessary.
+@end table
+
+@node Record Kinds,Record Type Ghdl_Rtin_Type_Record,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
+@anchor{internals/RTI record-kinds}@anchor{14f9}
+@subsection Record Kinds
+
+
+Ghdl_Rtik_Type_Record
+
+@quotation
+
+A standard VHDL record.
+@end quotation
+
+Ghdl_Rtik_Type_Unbounded_Record
+
+@quotation
+
+A vhdl record containing an unbounded array (directory or indirectly).
+@end quotation
+
+Ghdl_Rtik_Subtype_Record
+
+@quotation
+
+A subtype of an unbounded record where all arrays are not bounded.
+@end quotation
+
+Ghdl_Rtik_Subtype_Unbounded_Record
+
+@quotation
+
+A subtype of an unbounded record where some but not all of the previously
+unbound arrays have been bound.
+@end quotation
+
+@node Record Type Ghdl_Rtin_Type_Record,Record Type Ghdl_Rtin_Type_Record<2>,Record Kinds,RTI Nodes / RTII Nodes
+@anchor{internals/RTI record-type-ghdl-rtin-type-record}@anchor{14fa}
+@subsection Record Type (Ghdl_Rtin_Type_Record)
+
+
+Can have Kind of @cite{Ghdl_Rtik_Type_Record} or @cite{Ghdl_Rtik_Type_Unbounded_Record}.
+The record elements after @cite{Common} and @cite{Name} are:
+
+Nbrel : Ghdl_Index_Type
+
+@quotation
+
+Number elements in the record.
+@end quotation
+
+Elements : Ghdl_Rti_Arr_Acc;
+
+@quotation
+
+The RTI nodes of the element defintions.
+@end quotation
+
+Layout : Ghdl_Rti_Loc
+
+@quotation
+
+The layout is the relative address that the layout/bounds information
+of the elements will be relative to.
+@end quotation
+
+@node Record Type Ghdl_Rtin_Type_Record<2>,Element Type Ghdl_Rtin_Element,Record Type Ghdl_Rtin_Type_Record,RTI Nodes / RTII Nodes
+@anchor{internals/RTI id1}@anchor{14fb}
+@subsection Record Type (Ghdl_Rtin_Type_Record)
+
+
+For an unbounded record the Layout is not used, but rather a @cite{Bounds} must be
+given.
+
+@node Element Type Ghdl_Rtin_Element,Examples,Record Type Ghdl_Rtin_Type_Record<2>,RTI Nodes / RTII Nodes
+@anchor{internals/RTI element-type-ghdl-rtin-element}@anchor{14fc}
+@subsection Element Type (Ghdl_Rtin_Element)
+
+
+The record elements after @cite{Common} and @cite{Name} are:
+
+
+@table @asis
+
+@item Eltype : Ghdl_Rti_Access
+
+The RTI node representing the type of the element.
+
+@item Val_Off : Ghdl_Index_Type
+
+For static element the offset is in the record.
+For complex element the offset is in the type layout or object layout.
+This is the offset for the value for generics or constants.
+
+@item Sig_Off : Ghdl_Index_Type
+
+This is the offset for the value wrapper in signals or ports.
+
+@item Layout_Off : Ghdl_Index_Type;
+
+For unbounded records: element layout offset in the layout.
+The layout is stores all the bounds for the various elements
+when the unbounded record is given bounds.
+@end table
+
+@node Examples,,Element Type Ghdl_Rtin_Element,RTI Nodes / RTII Nodes
+@anchor{internals/RTI examples}@anchor{14fd}
+@subsection Examples
-@item
-External ref and link to section:
@example
-:ref:`GHDL Roadmap <ghdl:CHANGE:Roadmap>`
+library ieee ;
+use ieee.std_logic_1164.all;
+
+package mypkg is
+
+ type mytype is record
+ a: std_logic;
+ b: std_logic;
+ end record;
+
+end package;
+
+library ieee ;
+use ieee.std_logic_1164.all;
+use work.mypkg.all;
+
+entity myentity is
+ port(
+ x: in mytype
+ );
+end myentity;
+
+architecture arch of myentity is
+begin
+end arch;
@end example
-@item
-External ref to option (no link):
+What will be the structure of the RTI for the port @cite{myentity.x}?
+
+The architecture has a context.
+Address of the architecture is A
+
+The entity has the same context.
+Address of the entity is A.
+
+The child on the entity is the port.
+Address of the port is A + 16.
+
+A port is a record ‘x’
+Address of the record value is A + 16.
+
+The record contains ‘a’ a std_logic vector.
+Address is A + 16.
+
+The record contains ‘b’ a std_logic_vector.
+Address is A + 24
@example
-:ghdl:option:`--ieee`
-:option:`ghdl:--ieee`
+library ieee ;
+use ieee.std_logic_1164.all;
+
+package mypkg is
+
+ type mytype is record
+ a: std_logic_vector(1 downto 0);
+ b: std_logic_vector(1 downto 0);
+ end record;
+
+end package;
+
+library ieee ;
+use ieee.std_logic_1164.all;
+use work.mypkg.all;
+
+entity myentity is
+ port(
+ x: in mytype
+ );
+end myentity;
+
+architecture arch of myentity is
+begin
+end arch;
+@end example
+
+@example
+- Architecture (A)
+ - Entity (A)
+ - port x (A+16)
+ - x.a (A+16)
+ - x.a(?) (A+16)
+ - x.a(?) (A+24)
+ - x.b (A+32)
+ - x.b(?) (A+40)
+ - x.b(?) (A+48)
@end example
-@end itemize
-@end table
-@end itemize
-@node Dist,,Documentation configuration,Meta
-@anchor{appendix/Meta dist}@anchor{137}
-@section Dist
+@example
+library ieee ;
+use ieee.std_logic_1164.all;
+entity myentity is
+ generic (
+ WIDTH: natural := 2
+ );
+ port(
+ x: in std_logic_vector(WIDTH-1 downto 0)
+ );
+end myentity;
+architecture arch of myentity is
+begin
+end arch;
+@end example
-@itemize *
+@example
+- Architecture (A)
+ - Entity (A)
+ - generic WIDTH (A+16)
+ - port x (A+48) content of address (A+48) is B
+ - type information
+ analyze a type with context (address=A, rti=entity)
+ layout is located at A+20
+ so bounds is located at A+28
+ - x subtype array (B)
+ - x(?) (B)
+ - x(?) (B+8)
+@end example
-@item
-Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a result, some functionalities, such as arrays like @code{array[1]}, are not supported. Therefore, build scripts should not use those functionalities unless they are sourced in a @cite{bash} shell. That is, @code{travis-ci.sh} uses arrays, since it is sourced in the Travis CI machine. But @code{docker-buildtest.sh} and @code{buildtest.sh} do not use any. The same applies to the scripts in @cite{testsuite}.
-@end itemize
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@c # preload commonly known graphical characters like (c)
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
@c This data file has been placed in the public domain.
@@ -5992,16 +48993,138 @@ Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a
@c Processed by unicode2rstsubs.py, part of Docutils:
@c <http://docutils.sourceforge.net>.
-@c # define a hard kine break for HTML
+@c # define a hard line break for HTML
@c # This file is a placeholder and will be replaced
-@node Index<2>,Index,Meta,Top
-@anchor{genindex doc}@anchor{138}@anchor{genindex index}@anchor{139}
+@node GNATdoc,Index<2>,Run Time Information RTI,Top
+@anchor{gnatdoc/index doc}@anchor{14fe}@anchor{gnatdoc/index gnatdoc}@anchor{14ff}
+@chapter GNATdoc
+
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@c # This file is a placeholder and will be replaced
+
+@node Index<2>,Python Module Index<2>,GNATdoc,Top
+@anchor{genindex doc}@anchor{1500}@anchor{genindex index}@anchor{1501}
@chapter Index
-@node Index,,Index<2>,Top
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@c This file is a placeholder and will be replaced
+
+@node Python Module Index<2>,Python Module Index,Index<2>,Top
+@anchor{py-modindex doc}@anchor{1502}@anchor{py-modindex python-module-index}@anchor{1503}
+@chapter Python Module Index
+
+
+@node Python Module Index,Index,Python Module Index<2>,Top
+@unnumbered Python Module Index
+
+
+@menu
+* pyGHDL: 0.
+* pyGHDL.cli: 1.
+* pyGHDL.cli.DOM: 2.
+* pyGHDL.cli.lsp: 3.
+* pyGHDL.dom: 4.
+* pyGHDL.dom._Translate: 5.
+* pyGHDL.dom._Utils: 6.
+* pyGHDL.dom.Aggregates: 7.
+* pyGHDL.dom.Common: 8.
+* pyGHDL.dom.DesignUnit: 9.
+* pyGHDL.dom.Expression: a.
+* pyGHDL.dom.formatting: b.
+* pyGHDL.dom.formatting.prettyprint: c.
+* pyGHDL.dom.InterfaceItem: d.
+* pyGHDL.dom.Literal: e.
+* pyGHDL.dom.Misc: f.
+* pyGHDL.dom.NonStandard: 10.
+* pyGHDL.dom.Object: 11.
+* pyGHDL.dom.Range: 12.
+* pyGHDL.dom.Subprogram: 13.
+* pyGHDL.dom.Symbol: 14.
+* pyGHDL.dom.Type: 15.
+* pyGHDL.libghdl: 16.
+* pyGHDL.libghdl._decorator: 17.
+* pyGHDL.libghdl._types: 18.
+* pyGHDL.libghdl.errorout: 19.
+* pyGHDL.libghdl.errorout_console: 1a.
+* pyGHDL.libghdl.errorout_memory: 1b.
+* pyGHDL.libghdl.files_map: 1c.
+* pyGHDL.libghdl.files_map_editor: 1d.
+* pyGHDL.libghdl.flags: 1e.
+* pyGHDL.libghdl.libraries: 1f.
+* pyGHDL.libghdl.name_table: 20.
+* pyGHDL.libghdl.std_names: 21.
+* pyGHDL.libghdl.utils: 22.
+* pyGHDL.libghdl.version: 23.
+* pyGHDL.libghdl.vhdl: 24.
+* pyGHDL.libghdl.vhdl.canon: 25.
+* pyGHDL.libghdl.vhdl.elocations: 26.
+* pyGHDL.libghdl.vhdl.flists: 27.
+* pyGHDL.libghdl.vhdl.formatters: 28.
+* pyGHDL.libghdl.vhdl.ieee: 29.
+* pyGHDL.libghdl.vhdl.lists: 2a.
+* pyGHDL.libghdl.vhdl.nodes: 2b.
+* pyGHDL.libghdl.vhdl.nodes_meta: 2c.
+* pyGHDL.libghdl.vhdl.nodes_utils: 2d.
+* pyGHDL.libghdl.vhdl.parse: 2e.
+* pyGHDL.libghdl.vhdl.sem: 2f.
+* pyGHDL.libghdl.vhdl.sem_lib: 30.
+* pyGHDL.libghdl.vhdl.std_package: 31.
+* pyGHDL.libghdl.vhdl.tokens: 32.
+* pyGHDL.lsp: 33.
+* pyGHDL.lsp.document: 34.
+* pyGHDL.lsp.lsp: 35.
+* pyGHDL.lsp.lsptools: 36.
+* pyGHDL.lsp.references: 37.
+* pyGHDL.lsp.symbols: 38.
+* pyGHDL.lsp.version: 39.
+* pyGHDL.lsp.vhdl_ls: 3a.
+* pyGHDL.lsp.workspace: 3b.
+@end menu
+
+
+@node Index,,Python Module Index,Top
@unnumbered Index
diff --git a/ghw/libghw.c b/ghw/libghw.c
index eabc31cba..7b01db680 100644
--- a/ghw/libghw.c
+++ b/ghw/libghw.c
@@ -655,7 +655,7 @@ ghw_read_type (struct ghw_handler *h)
if (t == EOF)
return -1;
if (h->flag_verbose > 1)
- printf ("type[%d]= %d\n", i, t);
+ printf ("type[%u]= %d\n", i, t);
switch (t)
{
case ghdl_rtik_type_b2:
@@ -684,6 +684,7 @@ ghw_read_type (struct ghw_handler *h)
h->types[i] = (union ghw_type *) e;
break;
err_b2:
+ free (e->lits);
free (e);
return -1;
}
@@ -771,6 +772,7 @@ ghw_read_type (struct ghw_handler *h)
h->types[i] = (union ghw_type *) arr;
break;
err_array:
+ free (arr->dims);
free (arr);
return -1;
}
@@ -1002,8 +1004,8 @@ ghw_read_signal (struct ghw_handler *h, unsigned int *sigs, union ghw_type *t)
}
int
-ghw_read_value (struct ghw_handler *h, union ghw_val *val,
- union ghw_type *type)
+ghw_read_value (struct ghw_handler *h,
+ union ghw_val *val, union ghw_type *type)
{
switch (ghw_get_base_type (type)->kind)
{
@@ -1079,7 +1081,7 @@ ghw_read_hie (struct ghw_handler *h)
h->nbr_sigs = ghw_get_i32 (h, &hdr[12]);
if (h->flag_verbose)
- printf ("%u scopes, %u signals, %u signal elements\n", nbr_scopes,
+ printf ("%d scopes, %d signals, %u signal elements\n", nbr_scopes,
nbr_sigs, h->nbr_sigs);
blk = (struct ghw_hie *) malloc (sizeof (struct ghw_hie));
diff --git a/scripts/ci-run.sh b/scripts/ci-run.sh
index ba213310c..96e2a99bc 100755
--- a/scripts/ci-run.sh
+++ b/scripts/ci-run.sh
@@ -1,6 +1,6 @@
#! /bin/bash
-scriptdir=`dirname $0`
+scriptdir=`dirname "$0"`
if [ -n "$GITHUB_EVENT_PATH" ]; then
export CI=true
@@ -24,56 +24,20 @@ gend () {
:
}
-if [ -n "$TRAVIS" ]; then
- echo "INFO: set 'gstart' and 'gend' for TRAVIS"
- # This is a trimmed down copy of https://github.com/travis-ci/travis-build/blob/master/lib/travis/build/bash/*
- travis_time_start() {
- # `date +%N` returns the date in nanoseconds. It is used as a replacement for $RANDOM, which is only available in bash.
- travis_timer_id=`date +%N`
- travis_start_time=`travis_nanoseconds`
- echo "travis_time:start:$travis_timer_id"
- }
- travis_time_finish() {
- travis_end_time=`travis_nanoseconds`
- local duration=$(($travis_end_time-$travis_start_time))
- echo "travis_time:end:$travis_timer_id:start=$travis_start_time,finish=$travis_end_time,duration=$duration"
- }
-
- if [ "$TRAVIS_OS_NAME" = "osx" ]; then
- travis_nanoseconds() {
- date -u '+%s000000000'
- }
- else
- travis_nanoseconds() {
- date -u '+%s%N'
- }
- fi
+if [ -n "$CI" ]; then
+ echo "INFO: set 'gstart' and 'gend' for CI"
gstart () {
- echo "travis_fold:start:group"
- travis_time_start
+ printf '::group::'
print_start "$@"
+ SECONDS=0
}
gend () {
- travis_time_finish
- echo "travis_fold:end:group"
+ duration=$SECONDS
+ echo '::endgroup::'
+ printf "${ANSI_GRAY}took $(($duration / 60)) min $(($duration % 60)) sec.${ANSI_NOCOLOR}\n"
}
-else
- if [ -n "$CI" ]; then
- echo "INFO: set 'gstart' and 'gend' for CI"
- gstart () {
- printf '::group::'
- print_start "$@"
- SECONDS=0
- }
-
- gend () {
- duration=$SECONDS
- echo '::endgroup::'
- printf "${ANSI_GRAY}took $(($duration / 60)) min $(($duration % 60)) sec.${ANSI_NOCOLOR}\n"
- }
- fi
fi
echo "cliargs: $0 $@"
@@ -143,9 +107,6 @@ buildCmdOpts () {
BUILD_ARG="$1"
# Get short commit SHA
- if [ -n "$TRAVIS_COMMIT" ]; then
- GIT_SHA="$TRAVIS_COMMIT"
- fi
if [ -n "$GITHUB_SHA" ]; then
GIT_SHA="$GITHUB_SHA"
fi
@@ -154,8 +115,6 @@ buildCmdOpts () {
fi
PKG_SHA="`printf $GIT_SHA | cut -c1-10`"
- echo "TRAVIS_COMMIT: $TRAVIS_COMMIT"
- echo "TRAVIS_TAG: $TRAVIS_TAG"
echo "GITHUB_SHA: $GITHUB_SHA"
echo "GITHUB_REF: $GITHUB_REF"
echo "GIT_SHA: $GIT_SHA"
@@ -168,13 +127,6 @@ buildCmdOpts () {
*heads*|*pull*)
PKG_TAG="`notag`"
;;
- "")
- if [ -z "$TRAVIS_TAG" ]; then
- PKG_TAG="`notag`"
- else
- PKG_TAG="`vertag "$TRAVIS_TAG"`"
- fi
- ;;
*)
PKG_TAG="$GITHUB_REF"
;;
@@ -391,7 +343,7 @@ ci_run () {
# Build
- RUN="docker run --rm -t -e CI -e TRAVIS -v `pwd`:/work -w /work"
+ RUN="docker run --rm -t -e CI -v `pwd`:/work -w /work"
if [ "x$IS_MACOS" = "xtrue" ]; then
export CPATH="$CPATH:$(xcrun --show-sdk-path)/usr/include"
export PATH="$PATH:$(brew --prefix llvm)/bin"
@@ -477,7 +429,7 @@ EOF
echo "command: $0 $@"
unset IS_MACOS
-if [ "$GITHUB_OS" = "macOS" ] || [ "$TRAVIS_OS_NAME" = "osx" ]; then
+if [ "$GITHUB_OS" = "macOS" ]; then
IS_MACOS="true"
fi
diff --git a/scripts/vendors/compile-altera.sh b/scripts/vendors/compile-altera.sh
index 06269071e..17dfe42c7 100755
--- a/scripts/vendors/compile-altera.sh
+++ b/scripts/vendors/compile-altera.sh
@@ -217,7 +217,7 @@ fi
# Search Altera Quartus in default installation locations
DefaultDirectories=("/opt/Altera" "/opt/altera" "/c/Altera")
-if [ ! -z $QUARTUS_ROOTDIR ]; then
+if [ -n "$QUARTUS_ROOTDIR" ]; then
EnvSourceDir="$QUARTUS_ROOTDIR/${Altera_Quartus_Settings[SourceDirectory]}"
else
for DefaultDir in "${DefaultDirectories[@]}"; do
diff --git a/scripts/vendors/compile-lattice.sh b/scripts/vendors/compile-lattice.sh
index 2c42e2200..e5e8c3242 100755
--- a/scripts/vendors/compile-lattice.sh
+++ b/scripts/vendors/compile-lattice.sh
@@ -232,7 +232,7 @@ SetupDirectories LatticeDiamond "Lattice Diamond"
# create "lattice" directory and change to it
# => $DestinationDirectory
CreateDestinationDirectory
-cd $DestinationDirectory
+cd "$DestinationDirectory"
# Extend global GHDL Options TODO: move to GHDLSetup
diff --git a/scripts/vendors/compile-osvvm.sh b/scripts/vendors/compile-osvvm.sh
index 34b5f2dd4..9f69fc088 100755
--- a/scripts/vendors/compile-osvvm.sh
+++ b/scripts/vendors/compile-osvvm.sh
@@ -34,10 +34,10 @@ test greadlink --version > /dev/null 2>&1 && READLINK=greadlink || READLINK=read
# Save working directory
WorkingDir=$(pwd)
ScriptDir="$(dirname $0)"
-ScriptDir="$($READLINK -f $ScriptDir)"
+ScriptDir="$($READLINK -f "$ScriptDir")"
# Source Bash utilities
-source $ScriptDir/../ansi_color.sh
+source "$ScriptDir"/../ansi_color.sh
if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading Bash utilities.${ANSI_NOCOLOR}" ; exit 1; fi
@@ -52,7 +52,7 @@ SUPPRESS_WARNINGS=0
HALT_ON_ERROR=0
DestDir=""
SrcDir=""
-while [[ $# -gt 0 ]]; do
+while [[ "$#" -gt 0 ]]; do
case "$1" in
-c|--clean)
COMMAND=3
diff --git a/scripts/vendors/compile-xilinx-ise.sh b/scripts/vendors/compile-xilinx-ise.sh
index 354193501..b904f2f0e 100755
--- a/scripts/vendors/compile-xilinx-ise.sh
+++ b/scripts/vendors/compile-xilinx-ise.sh
@@ -35,10 +35,10 @@ test greadlink --version > /dev/null 2>&1 && READLINK=greadlink || READLINK=read
# Save working directory
WorkingDir=$(pwd)
ScriptDir="$(dirname $0)"
-ScriptDir="$($READLINK -f $ScriptDir)"
+ScriptDir="$($READLINK -f "$ScriptDir")"
# Source Bash utilities
-source $ScriptDir/../ansi_color.sh
+source "$ScriptDir"/../ansi_color.sh
if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading Bash utilities.${ANSI_NOCOLOR}" ; exit 1; fi
@@ -60,7 +60,7 @@ VHDLStandard=93
GHDLBinDir=""
DestDir=""
SrcDir=""
-while [[ $# -gt 0 ]]; do
+while [[ "$#" -gt 0 ]]; do
case "$1" in
-c|--clean)
COMMAND=3
@@ -210,14 +210,14 @@ fi
# Search Xilinx ISE in default installation locations
DefaultDirectories=("/opt/Xilinx" "/opt/xilinx" "/c/Xilinx")
-if [ ! -z $XILINX ]; then
+if [ -n "$XILINX" ]; then
EnvSourceDir="$XILINX/${Xilinx_ISE_Settings[SourceDirectory]}"
else
for DefaultDir in "${DefaultDirectories[@]}"; do
for Major in 14 13; do
for Minor in 7 6 5 4 3 2 1 0; do
- Dir=$DefaultDir/${Major}.${Minor}/ISE_DS
- if [ -d $Dir ]; then
+ Dir="$DefaultDir/${Major}.${Minor}"/ISE_DS
+ if [ -d "$Dir" ]; then
EnvSourceDir="$Dir/${Xilinx_ISE_Settings[SourceDirectory]}"
break 3
fi
diff --git a/scripts/vendors/compile-xilinx-vivado.sh b/scripts/vendors/compile-xilinx-vivado.sh
index 050f0ab4a..334df149f 100755
--- a/scripts/vendors/compile-xilinx-vivado.sh
+++ b/scripts/vendors/compile-xilinx-vivado.sh
@@ -38,7 +38,7 @@ ScriptDir="$(dirname $0)"
ScriptDir="$($READLINK -f $ScriptDir)"
# Source Bash utilities
-source $ScriptDir/../ansi_color.sh
+source "$ScriptDir"/../ansi_color.sh
if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading Bash utilities.${ANSI_NOCOLOR}" ; exit 1; fi
diff --git a/scripts/vendors/filter.analyze.sh b/scripts/vendors/filter.analyze.sh
index dfb99eaa9..a3242df7e 100755
--- a/scripts/vendors/filter.analyze.sh
+++ b/scripts/vendors/filter.analyze.sh
@@ -35,9 +35,9 @@ test greadlink --version > /dev/null 2>&1 && READLINK=greadlink || READLINK=read
# Save working directory
WorkingDir=$(pwd)
ScriptDir="$($READLINK -f $(dirname $0))"
-RootDir="$($READLINK -f $ScriptDir/..)"
+RootDir="$($READLINK -f "$ScriptDir"/..)"
-source $ScriptDir/../ansi_color.sh
+source "$ScriptDir"/../ansi_color.sh
if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading Bash utilities.${ANSI_NOCOLOR}" ; exit 1; fi
# command line argument processing
@@ -45,7 +45,7 @@ COMMAND=2
INDENT=""
VERBOSE=0
DEBUG=0
-while [[ $# > 0 ]]; do
+while [ "$#" -gt 0 ]; do
key="$1"
case $key in
-i|--indent)
diff --git a/scripts/vendors/shared.sh b/scripts/vendors/shared.sh
index 23f99375d..1c620db41 100755
--- a/scripts/vendors/shared.sh
+++ b/scripts/vendors/shared.sh
@@ -56,9 +56,9 @@ VERBOSE=${VERBOSE:-0}
DEBUG=${DEBUG:-0}
CONTINUE_ON_ERROR=${CONTINUE_ON_ERROR:-0}
-test $VERBOSE -eq 1 && echo -e " Declaring Bash procedures for GHDL..."
+test "$VERBOSE" -eq 1 && echo -e " Declaring Bash procedures for GHDL..."
-test $DEBUG -eq 1 && echo -e " ${ANSI_DARK_GRAY}procedure SetupDirectories( <Index> <Name> )${ANSI_NOCOLOR}"
+test "$DEBUG" -eq 1 && echo -e " ${ANSI_DARK_GRAY}procedure SetupDirectories( <Index> <Name> )${ANSI_NOCOLOR}"
# SetupDirectories
# -> $Index
# -> $Name
@@ -108,7 +108,7 @@ SetupDirectories() {
fi
}
-test $DEBUG -eq 1 && echo -e " ${ANSI_DARK_GRAY}procedure CreateDestinationDirectory( undocumented )${ANSI_NOCOLOR}"
+test "$DEBUG" -eq 1 && echo -e " ${ANSI_DARK_GRAY}procedure CreateDestinationDirectory( undocumented )${ANSI_NOCOLOR}"
# CreateDestinationDirectory
# -> undocumented
CreateDestinationDirectory() {
@@ -123,18 +123,18 @@ CreateDestinationDirectory() {
fi
}
-test $DEBUG -eq 1 && echo -e " ${ANSI_DARK_GRAY}procedure GHDLSetup( <VHDLStandard> )${ANSI_NOCOLOR}"
+test "$DEBUG" -eq 1 && echo -e " ${ANSI_DARK_GRAY}procedure GHDLSetup( <VHDLStandard> )${ANSI_NOCOLOR}"
# GHDLSetup
# -> $VHDLStandard
# <= $VHDLVersion
# <= $VHDLStandard
# <= $VHDLFlavor
GHDLSetup() {
- if [ $1 -eq 93 ]; then
+ if [ "$1" -eq 93 ]; then
VHDLVersion="v93"
VHDLStandard="93c"
VHDLFlavor="synopsys"
- elif [ $1 -eq 2008 ]; then
+ elif [ "$1" -eq 2008 ]; then
VHDLVersion="v08"
VHDLStandard="08"
VHDLFlavor="synopsys"
@@ -295,9 +295,9 @@ AnalyzeLibrary() {
echo -e "${ANSI_YELLOW}Analyzing files into library '$LibraryName'...${ANSI_NOCOLOR}"
for File in $Files; do
- test $VERBOSE -eq 1 && echo -e "${ANSI_CYAN} Analyzing '$File'${ANSI_NOCOLOR}"
+ test "$VERBOSE" -eq 1 && echo -e "${ANSI_CYAN} Analyzing '$File'${ANSI_NOCOLOR}"
- AnalyzeVHDL $LibraryName "$SourceDirectory" "$LibraryPath" "$File"
+ AnalyzeVHDL "$LibraryName" "$SourceDirectory" "$LibraryPath" "$File"
done
}
diff --git a/testsuite/gna/bug097/testsuite.sh b/testsuite/gna/bug097/testsuite.sh
index c66369565..34e1f8cd8 100755
--- a/testsuite/gna/bug097/testsuite.sh
+++ b/testsuite/gna/bug097/testsuite.sh
@@ -3,7 +3,7 @@
. ../../testenv.sh
if c_compiler_is_available; then
- if [ -z $CC ]; then
+ if [ -z "$CC" ]; then
CC="gcc"
fi
diff --git a/testsuite/gna/issue1067/testsuite.sh b/testsuite/gna/issue1067/testsuite.sh
index 28b04a32f..51ebb01b9 100755
--- a/testsuite/gna/issue1067/testsuite.sh
+++ b/testsuite/gna/issue1067/testsuite.sh
@@ -4,17 +4,15 @@
export GHDL_STD_FLAGS=--std=08
-for f in top; do
- analyze $f.vhdl
- elab $f
+analyze top.vhdl
+elab top
- if ghdl_has_feature $f ghw; then
- simulate $f --dump-rti
- simulate $f --wave=$f.ghw
- ghw_diff "$f"
- rm -f "$f".txt "$f".ghw
- fi
-done
+if ghdl_has_feature top ghw; then
+ simulate top --dump-rti
+ simulate top --wave=top.ghw
+ ghw_diff "top"
+ rm -f "top".txt "top".ghw
+fi
clean
diff --git a/testsuite/gna/issue1206/testsuite.sh b/testsuite/gna/issue1206/testsuite.sh
index 09532b329..c9cb305e5 100755
--- a/testsuite/gna/issue1206/testsuite.sh
+++ b/testsuite/gna/issue1206/testsuite.sh
@@ -5,7 +5,7 @@ cd $(dirname "$0")
. ../../testenv.sh
if $GHDL --help | grep -q -e --link; then
- if [ -z $CC ]; then
+ if [ -z "$CC" ]; then
CC="gcc"
fi
diff --git a/testsuite/gna/issue1256/vpi_plugin.c b/testsuite/gna/issue1256/vpi_plugin.c
index 6771bc7d6..8eb2a830f 100644
--- a/testsuite/gna/issue1256/vpi_plugin.c
+++ b/testsuite/gna/issue1256/vpi_plugin.c
@@ -82,9 +82,9 @@ static PLI_INT32 rw_cb(p_cb_data data){
if(iteration > 0) {
vpi_get_value(enum_decoded_handle, &val);
val.format = vpiBinStrVal;
- printf("enum decoded = %s iteration %d \n", val.value.str, iteration);
+ printf("enum decoded = %s iteration %u \n", val.value.str, iteration);
vpi_get_value(enum_out_handle, &val);
- printf("enum out = %s iteration %d \n", val.value.str, iteration);
+ printf("enum out = %s iteration %u \n", val.value.str, iteration);
val.format = vpiBinStrVal;
}
@@ -92,7 +92,7 @@ static PLI_INT32 rw_cb(p_cb_data data){
if(iteration < STOP_ITERATION) {
val.value.str = (char *) input_values[iteration];
- printf("enum in <= %s iteration %d \n", val.value.str, iteration);
+ printf("enum in <= %s iteration %u \n", val.value.str, iteration);
vpi_put_value(enum_in_handle, &val, NULL, vpiNoDelay);
register_cb(delay_ro_cb, cbAfterDelay, 1);
} else {
diff --git a/testsuite/gna/issue237/vpi1.c b/testsuite/gna/issue237/vpi1.c
index 873dc25bc..ab65886b0 100644
--- a/testsuite/gna/issue237/vpi1.c
+++ b/testsuite/gna/issue237/vpi1.c
@@ -82,7 +82,7 @@ vpi_clk_proc (struct t_cb_data *cb)
return 0;
cycle++;
- printf ("clock cycle %d\n", cycle);
+ printf ("clock cycle %u\n", cycle);
if (cycle == 2)
show_value ("test_array.mem_down");
diff --git a/testsuite/synth/aggr03/testsuite.sh b/testsuite/synth/aggr03/testsuite.sh
index effc23552..bff6abfbf 100755
--- a/testsuite/synth/aggr03/testsuite.sh
+++ b/testsuite/synth/aggr03/testsuite.sh
@@ -3,15 +3,6 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in conv01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb conv01
echo "Test successful"
diff --git a/testsuite/synth/block01/testsuite.sh b/testsuite/synth/block01/testsuite.sh
index 8729220fc..8b9247571 100755
--- a/testsuite/synth/block01/testsuite.sh
+++ b/testsuite/synth/block01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in block01 block02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/cnt01/testsuite.sh b/testsuite/synth/cnt01/testsuite.sh
index 4e2d78326..7cdfc8731 100755
--- a/testsuite/synth/cnt01/testsuite.sh
+++ b/testsuite/synth/cnt01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in cnt01 cnt02 cnt04; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/concat01/testsuite.sh b/testsuite/synth/concat01/testsuite.sh
index 083547e63..d592fb22e 100755
--- a/testsuite/synth/concat01/testsuite.sh
+++ b/testsuite/synth/concat01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in concat01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb concat01
echo "Test successful"
diff --git a/testsuite/synth/const01/testsuite.sh b/testsuite/synth/const01/testsuite.sh
index e72cffdcd..f1badcd9f 100755
--- a/testsuite/synth/const01/testsuite.sh
+++ b/testsuite/synth/const01/testsuite.sh
@@ -2,9 +2,7 @@
. ../../testenv.sh
-for t in const01; do
- synth_tb $t
-done
+synth_tb const01
# synth const02.vhdl -e > syn_const02.vhdl
synth_analyze const03
diff --git a/testsuite/synth/dff02/testsuite.sh b/testsuite/synth/dff02/testsuite.sh
index e85d78d97..0669961f5 100755
--- a/testsuite/synth/dff02/testsuite.sh
+++ b/testsuite/synth/dff02/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in dff05 dff06 dff08 dff08a dff08b dff08c dff08d dff09; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/dff03/testsuite.sh b/testsuite/synth/dff03/testsuite.sh
index 5700e4cef..cc55ef7dc 100755
--- a/testsuite/synth/dff03/testsuite.sh
+++ b/testsuite/synth/dff03/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in dff01 dff02 dff03 dff04 dff05 dff06 dff07; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/exit01/testsuite.sh b/testsuite/synth/exit01/testsuite.sh
index a8a8026f7..9469df959 100755
--- a/testsuite/synth/exit01/testsuite.sh
+++ b/testsuite/synth/exit01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in exit01 exit02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/forgen01/testsuite.sh b/testsuite/synth/forgen01/testsuite.sh
index d9818a2e2..114192140 100755
--- a/testsuite/synth/forgen01/testsuite.sh
+++ b/testsuite/synth/forgen01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in forgen01 forgen02 forgen03; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/fsm01/testsuite.sh b/testsuite/synth/fsm01/testsuite.sh
index 875ae0a23..2627f6033 100755
--- a/testsuite/synth/fsm01/testsuite.sh
+++ b/testsuite/synth/fsm01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in fsm_2s fsm_3s fsm_4s fsm_5s fsm_6s fsm_7s; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/fsm02/testsuite.sh b/testsuite/synth/fsm02/testsuite.sh
index 6326874de..c10e0195a 100755
--- a/testsuite/synth/fsm02/testsuite.sh
+++ b/testsuite/synth/fsm02/testsuite.sh
@@ -2,15 +2,13 @@
. ../../testenv.sh
-for t in recv; do
- analyze -fpsl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth -fpsl $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+analyze -fpsl recv.vhdl tb_recv.vhdl
+elab_simulate tb_recv
+clean
+
+synth -fpsl recv.vhdl -e recv > syn_recv.vhdl
+analyze syn_recv.vhdl tb_recv.vhdl
+elab_simulate tb_recv
+clean
echo "Test successful"
diff --git a/testsuite/synth/fsm03/testsuite.sh b/testsuite/synth/fsm03/testsuite.sh
index 31b338371..2581cd97b 100755
--- a/testsuite/synth/fsm03/testsuite.sh
+++ b/testsuite/synth/fsm03/testsuite.sh
@@ -4,14 +4,7 @@ exit 0
. ../../testenv.sh
for t in rom1 dpram1 dpram2 dpram3; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/func01/testsuite.sh b/testsuite/synth/func01/testsuite.sh
index 2db9e30c9..516f12dcb 100755
--- a/testsuite/synth/func01/testsuite.sh
+++ b/testsuite/synth/func01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in func01 func02 func03 func04 func05 func06 func07 func08b func08; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/func03/testsuite.sh b/testsuite/synth/func03/testsuite.sh
index 74351ab7e..c3ce3ec78 100755
--- a/testsuite/synth/func03/testsuite.sh
+++ b/testsuite/synth/func03/testsuite.sh
@@ -2,8 +2,6 @@
. ../../testenv.sh
-for t in func01; do
- synth_tb $t
-done
+synth_tb func01
echo "Test successful"
diff --git a/testsuite/synth/iassoc01/testsuite.sh b/testsuite/synth/iassoc01/testsuite.sh
index 7e01dc72a..e1610a0b7 100755
--- a/testsuite/synth/iassoc01/testsuite.sh
+++ b/testsuite/synth/iassoc01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in iassoc01 iassoc02 iassoc03 iassoc04 iassoc11 iassoc12; do
- analyze pkg.vhdl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth pkg.vhdl $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t pkg.vhdl
done
echo "Test successful"
diff --git a/testsuite/synth/if01/testsuite.sh b/testsuite/synth/if01/testsuite.sh
index cb7a8bdf6..d9cfeb215 100755
--- a/testsuite/synth/if01/testsuite.sh
+++ b/testsuite/synth/if01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in if01 if02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/insert01/testsuite.sh b/testsuite/synth/insert01/testsuite.sh
index 861ec8b04..056fb9208 100755
--- a/testsuite/synth/insert01/testsuite.sh
+++ b/testsuite/synth/insert01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in insert01 insert02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1014/testsuite.sh b/testsuite/synth/issue1014/testsuite.sh
index 5acecf2a9..c9ac2846a 100755
--- a/testsuite/synth/issue1014/testsuite.sh
+++ b/testsuite/synth/issue1014/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in record_test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb record_test
echo "Test successful"
diff --git a/testsuite/synth/issue1018/testsuite.sh b/testsuite/synth/issue1018/testsuite.sh
index 45e08609a..b61d639a8 100755
--- a/testsuite/synth/issue1018/testsuite.sh
+++ b/testsuite/synth/issue1018/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in test ; do
- synth -de $t.vhdl -e > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze test
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1021/testsuite.sh b/testsuite/synth/issue1021/testsuite.sh
index cca8f9080..fd9809025 100755
--- a/testsuite/synth/issue1021/testsuite.sh
+++ b/testsuite/synth/issue1021/testsuite.sh
@@ -4,15 +4,6 @@
GHDL_STD_FLAGS=--std=08
-for t in test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb test
echo "Test successful"
diff --git a/testsuite/synth/issue1025/testsuite.sh b/testsuite/synth/issue1025/testsuite.sh
index 9cf76ccac..4af5f5794 100755
--- a/testsuite/synth/issue1025/testsuite.sh
+++ b/testsuite/synth/issue1025/testsuite.sh
@@ -4,14 +4,7 @@
GHDL_STD_FLAGS=--std=08
for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1034/testsuite.sh b/testsuite/synth/issue1034/testsuite.sh
index 045165428..1d7f18bbb 100755
--- a/testsuite/synth/issue1034/testsuite.sh
+++ b/testsuite/synth/issue1034/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze p.vhdl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth p.vhdl $t.vhdl -e $t > syn_$t.vhdl
- analyze p.vhdl syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent p.vhdl
echo "Test successful"
diff --git a/testsuite/synth/issue1035/testsuite.sh b/testsuite/synth/issue1035/testsuite.sh
index 730cf6d16..ba960e856 100755
--- a/testsuite/synth/issue1035/testsuite.sh
+++ b/testsuite/synth/issue1035/testsuite.sh
@@ -3,11 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
-done
-
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1036/testsuite.sh b/testsuite/synth/issue1036/testsuite.sh
index ed8a512fa..ba960e856 100755
--- a/testsuite/synth/issue1036/testsuite.sh
+++ b/testsuite/synth/issue1036/testsuite.sh
@@ -3,10 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
-done
-
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1042/testsuite.sh b/testsuite/synth/issue1042/testsuite.sh
index bc454cfda..c666942d3 100755
--- a/testsuite/synth/issue1042/testsuite.sh
+++ b/testsuite/synth/issue1042/testsuite.sh
@@ -2,11 +2,7 @@
. ../../testenv.sh
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
-done
-
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1046/testsuite.sh b/testsuite/synth/issue1046/testsuite.sh
index 0ad6d0e90..d592fb22e 100755
--- a/testsuite/synth/issue1046/testsuite.sh
+++ b/testsuite/synth/issue1046/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in concat01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb concat01
echo "Test successful"
diff --git a/testsuite/synth/issue1054/testsuite.sh b/testsuite/synth/issue1054/testsuite.sh
index 564b47fc4..d7108f1eb 100755
--- a/testsuite/synth/issue1054/testsuite.sh
+++ b/testsuite/synth/issue1054/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in simple01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb simple01
echo "Test successful"
diff --git a/testsuite/synth/issue1058/testsuite.sh b/testsuite/synth/issue1058/testsuite.sh
index e30a741e0..5c1da263d 100755
--- a/testsuite/synth/issue1058/testsuite.sh
+++ b/testsuite/synth/issue1058/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/issue1062/testsuite.sh b/testsuite/synth/issue1062/testsuite.sh
index 2fcf72e02..d78142222 100755
--- a/testsuite/synth/issue1062/testsuite.sh
+++ b/testsuite/synth/issue1062/testsuite.sh
@@ -2,11 +2,9 @@
. ../../testenv.sh
-for t in ent; do
- synth -ggen1=5 -ggens=TRUE $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth -ggen1=5 -ggens=TRUE ent.vhdl -e ent > syn_ent.vhdl
+analyze syn_ent.vhdl tb_ent.vhdl
+elab_simulate tb_ent --ieee-asserts=disable-at-0
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1064/testsuite.sh b/testsuite/synth/issue1064/testsuite.sh
index c0d948a7a..e098bbc58 100755
--- a/testsuite/synth/issue1064/testsuite.sh
+++ b/testsuite/synth/issue1064/testsuite.sh
@@ -4,14 +4,7 @@
GHDL_STD_FLAGS=--std=08
for t in test testrec; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1076/testsuite.sh b/testsuite/synth/issue1076/testsuite.sh
index 4593a0d74..5fc300084 100755
--- a/testsuite/synth/issue1076/testsuite.sh
+++ b/testsuite/synth/issue1076/testsuite.sh
@@ -5,14 +5,7 @@
GHDL_STD_FLAGS=--std=08
for t in ent ent2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1077/testsuite.sh b/testsuite/synth/issue1077/testsuite.sh
index b6f55d91d..ba960e856 100755
--- a/testsuite/synth/issue1077/testsuite.sh
+++ b/testsuite/synth/issue1077/testsuite.sh
@@ -3,10 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze ent
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1080/testsuite.sh b/testsuite/synth/issue1080/testsuite.sh
index 06c7b7061..2a368234e 100755
--- a/testsuite/synth/issue1080/testsuite.sh
+++ b/testsuite/synth/issue1080/testsuite.sh
@@ -8,15 +8,6 @@ for t in repro repro2 repro2_1 repro3 repro4; do
clean
done
-for t in repro3_1; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb repro3_1
echo "Test successful"
diff --git a/testsuite/synth/issue1082/testsuite.sh b/testsuite/synth/issue1082/testsuite.sh
index cca8f9080..fd9809025 100755
--- a/testsuite/synth/issue1082/testsuite.sh
+++ b/testsuite/synth/issue1082/testsuite.sh
@@ -4,15 +4,6 @@
GHDL_STD_FLAGS=--std=08
-for t in test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb test
echo "Test successful"
diff --git a/testsuite/synth/issue1083/testsuite.sh b/testsuite/synth/issue1083/testsuite.sh
index a4c28bcd4..b61d639a8 100755
--- a/testsuite/synth/issue1083/testsuite.sh
+++ b/testsuite/synth/issue1083/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in test; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze test
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1090/testsuite.sh b/testsuite/synth/issue1090/testsuite.sh
index 2e9bb84bb..34e596cf5 100755
--- a/testsuite/synth/issue1090/testsuite.sh
+++ b/testsuite/synth/issue1090/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in simple_ram; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb simple_ram
echo "Test successful"
diff --git a/testsuite/synth/issue1096/testsuite.sh b/testsuite/synth/issue1096/testsuite.sh
index 2335d0b5e..874e59bda 100755
--- a/testsuite/synth/issue1096/testsuite.sh
+++ b/testsuite/synth/issue1096/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in my_entity; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb my_entity
echo "Test successful"
diff --git a/testsuite/synth/issue1100/testsuite.sh b/testsuite/synth/issue1100/testsuite.sh
index 861e2e180..898b54d89 100755
--- a/testsuite/synth/issue1100/testsuite.sh
+++ b/testsuite/synth/issue1100/testsuite.sh
@@ -3,15 +3,6 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in repro; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb repro
echo "Test successful"
diff --git a/testsuite/synth/issue1107/testsuite.sh b/testsuite/synth/issue1107/testsuite.sh
index 94bce8c29..3201d0a17 100755
--- a/testsuite/synth/issue1107/testsuite.sh
+++ b/testsuite/synth/issue1107/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in unconnected; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze unconnected
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1114/testsuite.sh b/testsuite/synth/issue1114/testsuite.sh
index 976a2eec7..c666942d3 100755
--- a/testsuite/synth/issue1114/testsuite.sh
+++ b/testsuite/synth/issue1114/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze ent
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1116/testsuite.sh b/testsuite/synth/issue1116/testsuite.sh
index 166e4c704..1b6dbe39f 100755
--- a/testsuite/synth/issue1116/testsuite.sh
+++ b/testsuite/synth/issue1116/testsuite.sh
@@ -4,10 +4,7 @@
synth --expect-failure ent1.vhdl -e
-for t in ent2; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze ent2
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1117/testsuite.sh b/testsuite/synth/issue1117/testsuite.sh
index 7b5a2cb7c..ad9d5418c 100755
--- a/testsuite/synth/issue1117/testsuite.sh
+++ b/testsuite/synth/issue1117/testsuite.sh
@@ -2,15 +2,13 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth '-gg=x"ff_ff_00_01"' $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+analyze ent.vhdl tb_ent.vhdl
+elab_simulate tb_ent
+clean
+
+synth '-gg=x"ff_ff_00_01"' ent.vhdl -e ent > syn_ent.vhdl
+analyze syn_ent.vhdl tb_ent.vhdl
+elab_simulate tb_ent --ieee-asserts=disable-at-0
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1126/testsuite.sh b/testsuite/synth/issue1126/testsuite.sh
index c14b41fcd..b6e4518ef 100755
--- a/testsuite/synth/issue1126/testsuite.sh
+++ b/testsuite/synth/issue1126/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in bch_128x64; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze bch_128x64
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1130/testsuite.sh b/testsuite/synth/issue1130/testsuite.sh
index 9e68d3bed..a74ce9151 100755
--- a/testsuite/synth/issue1130/testsuite.sh
+++ b/testsuite/synth/issue1130/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in foo; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze foo
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1133/testsuite.sh b/testsuite/synth/issue1133/testsuite.sh
index 14c13c743..a74ce9151 100755
--- a/testsuite/synth/issue1133/testsuite.sh
+++ b/testsuite/synth/issue1133/testsuite.sh
@@ -2,11 +2,7 @@
. ../../testenv.sh
-for t in foo; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
-done
-
+synth_analyze foo
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1675/testsuite.sh b/testsuite/synth/issue1675/testsuite.sh
index 23b988cc0..833a1182f 100755
--- a/testsuite/synth/issue1675/testsuite.sh
+++ b/testsuite/synth/issue1675/testsuite.sh
@@ -3,43 +3,15 @@
. ../../testenv.sh
# accum
-analyze pkg.vhdl accum.vhdl tb_accum.vhdl
-elab_simulate tb_accum
-clean
-
-synth pkg.vhdl accum.vhdl -e > syn_accum.vhdl
-analyze pkg.vhdl syn_accum.vhdl tb_accum.vhdl
-elab_simulate tb_accum --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb accum pkg.vhdl
# accumwr
-analyze pkg.vhdl accum.vhdl accumwr.vhdl tb_accumwr.vhdl
-elab_simulate tb_accumwr
-clean
-
-synth pkg.vhdl accum.vhdl accumwr.vhdl -e > syn_accumwr.vhdl
-analyze pkg.vhdl syn_accumwr.vhdl tb_accumwr.vhdl
-elab_simulate tb_accumwr --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb accumwr pkg.vhdl accum.vhdl
# patgen
-analyze pkg.vhdl patgen.vhdl tb_patgen.vhdl
-elab_simulate tb_patgen
-clean
-
-synth pkg.vhdl patgen.vhdl -e > syn_patgen.vhdl
-analyze pkg.vhdl syn_patgen.vhdl tb_patgen.vhdl
-elab_simulate tb_patgen --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb patgen pkg.vhdl
# patacc
-analyze pkg.vhdl patgen.vhdl patacc.vhdl tb_patacc.vhdl
-elab_simulate tb_patacc
-clean
-
-synth pkg.vhdl patgen.vhdl patacc.vhdl -e > syn_patacc.vhdl
-analyze pkg.vhdl syn_patacc.vhdl tb_patacc.vhdl
-elab_simulate tb_patacc --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb patacc pkg.vhdl patgen.vhdl
echo "Test successful"
diff --git a/testsuite/synth/issue872/testsuite.sh b/testsuite/synth/issue872/testsuite.sh
index 146289504..460b63430 100755
--- a/testsuite/synth/issue872/testsuite.sh
+++ b/testsuite/synth/issue872/testsuite.sh
@@ -2,8 +2,7 @@
. ../../testenv.sh
-synth alu.vhdl -e $t > syn_alu.vhdl
-analyze syn_alu.vhdl
+synth_analyze alu
clean
echo "Test successful"
diff --git a/testsuite/synth/issue882/testsuite.sh b/testsuite/synth/issue882/testsuite.sh
index c980ae914..99a9434c3 100755
--- a/testsuite/synth/issue882/testsuite.sh
+++ b/testsuite/synth/issue882/testsuite.sh
@@ -3,8 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-synth cpu.vhdl -e $t > syn_cpu.vhdl
-analyze syn_cpu.vhdl
+synth_analyze cpu
clean
echo "Test successful"
diff --git a/testsuite/synth/issue937/testsuite.sh b/testsuite/synth/issue937/testsuite.sh
index 24d93e2e8..2d97363e1 100755
--- a/testsuite/synth/issue937/testsuite.sh
+++ b/testsuite/synth/issue937/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in enot bnot; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue940/testsuite.sh b/testsuite/synth/issue940/testsuite.sh
index 6ae2a9715..c666942d3 100755
--- a/testsuite/synth/issue940/testsuite.sh
+++ b/testsuite/synth/issue940/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
- analyze syn_$f.vhdl
-done
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue941/testsuite.sh b/testsuite/synth/issue941/testsuite.sh
index 6ae2a9715..c666942d3 100755
--- a/testsuite/synth/issue941/testsuite.sh
+++ b/testsuite/synth/issue941/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
- analyze syn_$f.vhdl
-done
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue946/testsuite.sh b/testsuite/synth/issue946/testsuite.sh
index 54e687d28..c666942d3 100755
--- a/testsuite/synth/issue946/testsuite.sh
+++ b/testsuite/synth/issue946/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
-# analyze syn_$f.vhdl
-done
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue947/testsuite.sh b/testsuite/synth/issue947/testsuite.sh
index 54e687d28..dfbfa9852 100755
--- a/testsuite/synth/issue947/testsuite.sh
+++ b/testsuite/synth/issue947/testsuite.sh
@@ -2,10 +2,8 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
-# analyze syn_$f.vhdl
-done
+synth ent.vhdl -e ent > syn_ent.vhdl
+# analyze syn_ent.vhdl
clean
echo "Test successful"
diff --git a/testsuite/synth/issue951/testsuite.sh b/testsuite/synth/issue951/testsuite.sh
index 0851b975a..5c1da263d 100755
--- a/testsuite/synth/issue951/testsuite.sh
+++ b/testsuite/synth/issue951/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/issue955/testsuite.sh b/testsuite/synth/issue955/testsuite.sh
index 39568eb47..fef55134d 100755
--- a/testsuite/synth/issue955/testsuite.sh
+++ b/testsuite/synth/issue955/testsuite.sh
@@ -8,15 +8,6 @@ for f in ent; do
done
clean
-for t in ent1; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent1
echo "Test successful"
diff --git a/testsuite/synth/issue960/testsuite.sh b/testsuite/synth/issue960/testsuite.sh
index eef613a45..7427c656d 100755
--- a/testsuite/synth/issue960/testsuite.sh
+++ b/testsuite/synth/issue960/testsuite.sh
@@ -2,16 +2,7 @@
. ../../testenv.sh
-for t in ent2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent2
synth ent.vhdl -e > syn_ent.vhdl
diff --git a/testsuite/synth/issue963/testsuite.sh b/testsuite/synth/issue963/testsuite.sh
index 33ea4d242..dd014642d 100755
--- a/testsuite/synth/issue963/testsuite.sh
+++ b/testsuite/synth/issue963/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in ent ent2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue964/testsuite.sh b/testsuite/synth/issue964/testsuite.sh
index e30a741e0..5c1da263d 100755
--- a/testsuite/synth/issue964/testsuite.sh
+++ b/testsuite/synth/issue964/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/issue973/testsuite.sh b/testsuite/synth/issue973/testsuite.sh
index e30a741e0..5c1da263d 100755
--- a/testsuite/synth/issue973/testsuite.sh
+++ b/testsuite/synth/issue973/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/lit01/testsuite.sh b/testsuite/synth/lit01/testsuite.sh
index a273b86fc..8d307161e 100755
--- a/testsuite/synth/lit01/testsuite.sh
+++ b/testsuite/synth/lit01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in aggr02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb aggr02
echo "Test successful"
diff --git a/testsuite/synth/memmux01/testsuite.sh b/testsuite/synth/memmux01/testsuite.sh
index 7a9179100..a14bb4d5a 100755
--- a/testsuite/synth/memmux01/testsuite.sh
+++ b/testsuite/synth/memmux01/testsuite.sh
@@ -4,14 +4,7 @@
GHDL_STD_FLAGS=--std=08
for t in memmux01 memmux02 memmux03 memmux04 memmux05 memmux07; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/output01/testsuite.sh b/testsuite/synth/output01/testsuite.sh
index a32d2c431..519bed4dc 100755
--- a/testsuite/synth/output01/testsuite.sh
+++ b/testsuite/synth/output01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in output01 output06 output07; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/physical01/testsuite.sh b/testsuite/synth/physical01/testsuite.sh
index 594edb174..34fa15be0 100755
--- a/testsuite/synth/physical01/testsuite.sh
+++ b/testsuite/synth/physical01/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in physical_division; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze physical_division
+clean
echo "Test successful"
diff --git a/testsuite/synth/rec01/testsuite.sh b/testsuite/synth/rec01/testsuite.sh
index dcb0aba24..cf0c5b54d 100755
--- a/testsuite/synth/rec01/testsuite.sh
+++ b/testsuite/synth/rec01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in rec01; do
- analyze pkg_$t.vhdl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth pkg_$t.vhdl $t.vhdl -e $t > syn_$t.vhdl
- analyze pkg_$t.vhdl syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb rec01 pkg_rec01.vhdl
echo "Test successful"
diff --git a/testsuite/synth/stmt01/testsuite.sh b/testsuite/synth/stmt01/testsuite.sh
index 3d066e799..e5876c869 100755
--- a/testsuite/synth/stmt01/testsuite.sh
+++ b/testsuite/synth/stmt01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in forloop2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb forloop2
echo "Test successful"
diff --git a/testsuite/synth/synth12/testsuite.sh b/testsuite/synth/synth12/testsuite.sh
index a65695152..2365b7211 100755
--- a/testsuite/synth/synth12/testsuite.sh
+++ b/testsuite/synth/synth12/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in lut; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb lut
echo "Test successful"
diff --git a/testsuite/synth/synth34/testsuite.sh b/testsuite/synth/synth34/testsuite.sh
index 82088c6d8..117b5e8c1 100755
--- a/testsuite/synth/synth34/testsuite.sh
+++ b/testsuite/synth/synth34/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in repro_slv repro_uns repro_sgn repro_nat repro_rng1; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/synth36/testsuite.sh b/testsuite/synth/synth36/testsuite.sh
index 7ea417f3f..da6824dc3 100755
--- a/testsuite/synth/synth36/testsuite.sh
+++ b/testsuite/synth/synth36/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in bram; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb bram
echo "Test successful"
diff --git a/testsuite/synth/synth38/testsuite.sh b/testsuite/synth/synth38/testsuite.sh
index 8fbbd24ae..bf6117074 100755
--- a/testsuite/synth/synth38/testsuite.sh
+++ b/testsuite/synth/synth38/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in modulo_test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb modulo_test
echo "Test successful"
diff --git a/testsuite/synth/synth39/testsuite.sh b/testsuite/synth/synth39/testsuite.sh
index 9bef83a1f..1dcb648af 100755
--- a/testsuite/synth/synth39/testsuite.sh
+++ b/testsuite/synth/synth39/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in record_test rec2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/synth40/testsuite.sh b/testsuite/synth/synth40/testsuite.sh
index 54d4ea0ed..b73ce1bc2 100755
--- a/testsuite/synth/synth40/testsuite.sh
+++ b/testsuite/synth/synth40/testsuite.sh
@@ -4,15 +4,6 @@
GHDL_STD_FLAGS=--std=08
-for t in testcase; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb testcase
echo "Test successful"
diff --git a/testsuite/synth/synth50/testsuite.sh b/testsuite/synth/synth50/testsuite.sh
index 18dbb5742..c3f0ea1bd 100755
--- a/testsuite/synth/synth50/testsuite.sh
+++ b/testsuite/synth/synth50/testsuite.sh
@@ -2,8 +2,6 @@
. ../../testenv.sh
-for t in slv_negation; do
- synth $t.vhdl -e $t > syn_$t.vhdl
-done
+synth slv_negation.vhdl -e slv_negation > syn_slv_negation.vhdl
echo "Test successful"
diff --git a/testsuite/synth/synth56/testsuite.sh b/testsuite/synth/synth56/testsuite.sh
index 6ab61cc2b..1ee64abba 100755
--- a/testsuite/synth/synth56/testsuite.sh
+++ b/testsuite/synth/synth56/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in test2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb test2
echo "Test successful"
diff --git a/testsuite/synth/synth76/testsuite.sh b/testsuite/synth/synth76/testsuite.sh
index 387dee3a1..90dbce815 100755
--- a/testsuite/synth/synth76/testsuite.sh
+++ b/testsuite/synth/synth76/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in dff02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb dff02
echo "Test successful"
diff --git a/testsuite/synth/synth8/testsuite.sh b/testsuite/synth/synth8/testsuite.sh
index df039cb08..ea085f2da 100755
--- a/testsuite/synth/synth8/testsuite.sh
+++ b/testsuite/synth/synth8/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in vector8_test1 test5; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/synth87/testsuite.sh b/testsuite/synth/synth87/testsuite.sh
index 0c9f3ac76..2f6d955ac 100755
--- a/testsuite/synth/synth87/testsuite.sh
+++ b/testsuite/synth/synth87/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in repro01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb repro01
echo "Test successful"
diff --git a/testsuite/synth/uassoc01/testsuite.sh b/testsuite/synth/uassoc01/testsuite.sh
index d82ae495d..0a8a40d6b 100755
--- a/testsuite/synth/uassoc01/testsuite.sh
+++ b/testsuite/synth/uassoc01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in uassoc01 uassoc02 uassoc03; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/var01/testsuite.sh b/testsuite/synth/var01/testsuite.sh
index d2c9c167c..b5cd66b19 100755
--- a/testsuite/synth/var01/testsuite.sh
+++ b/testsuite/synth/var01/testsuite.sh
@@ -5,14 +5,7 @@
GHDL_STD_FLAGS=--std=08
for t in var01c var01b var01a var01 var02 var03 var04 var05 var06; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/vests/testsuite.sh b/testsuite/vests/testsuite.sh
index 2df58dd3f..6ffdf4fb7 100755
--- a/testsuite/vests/testsuite.sh
+++ b/testsuite/vests/testsuite.sh
@@ -81,7 +81,7 @@ handle_test() {
if [ $dry = true ]; then
return
fi
- if [ x$entity = "x" ]; then
+ if [ "x$entity" = "x" ]; then
entity=`get_entity $dir/$file`
fi
if [ "x$entity" = "x" ]; then
@@ -132,7 +132,7 @@ handle_test() {
else
echo "skip";
fi
-
+
# Increment test_num
test_num=`expr $test_num + 1`
}