aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-04 19:12:42 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-23 23:42:30 +0100
commitb32eea0036728b58735783d581df6b2a99fb6171 (patch)
tree48323c023afaff3a9b39188709431c70a19d2ed2
parent5823ef28acc87cfbfc655b7e57a933ce1aca7a3c (diff)
downloadghdl-b32eea0036728b58735783d581df6b2a99fb6171.tar.gz
ghdl-b32eea0036728b58735783d581df6b2a99fb6171.tar.bz2
ghdl-b32eea0036728b58735783d581df6b2a99fb6171.zip
Reorganized comment tests.
-rw-r--r--pyGHDL/dom/__init__.py2
-rw-r--r--testsuite/pyunit/dom/SimpleEntity.py17
2 files changed, 15 insertions, 4 deletions
diff --git a/pyGHDL/dom/__init__.py b/pyGHDL/dom/__init__.py
index e9eb89240..12caccc1b 100644
--- a/pyGHDL/dom/__init__.py
+++ b/pyGHDL/dom/__init__.py
@@ -39,8 +39,6 @@ from pyGHDL.libghdl import files_map, name_table
from pyGHDL.libghdl._types import Iir
from pyGHDL.libghdl.vhdl import nodes
-__all__ = []
-
@export
class Position:
diff --git a/testsuite/pyunit/dom/SimpleEntity.py b/testsuite/pyunit/dom/SimpleEntity.py
index 7e19c2d17..c2167c973 100644
--- a/testsuite/pyunit/dom/SimpleEntity.py
+++ b/testsuite/pyunit/dom/SimpleEntity.py
@@ -60,6 +60,9 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(1, len(design.Documents))
+ print()
+ print(document.Documentation)
+ self.assertEqual(4, len(document.Documentation.splitlines()))
def test_Entity(self):
design = Design()
@@ -67,7 +70,12 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(1, len(design.Documents[0].Entities))
- self.assertEqual("Counter", design.Documents[0].Entities[0].Identifier)
+
+ entity = design.Documents[0].Entities[0]
+ self.assertEqual("Counter", entity.Identifier)
+ print()
+ print(entity.Documentation)
+ self.assertEqual(11, len(entity.Documentation.splitlines()))
def test_Architecture(self):
design = Design()
@@ -75,4 +83,9 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(1, len(design.Documents[0].Architectures))
- self.assertEqual("rtl", design.Documents[0].Architectures[0].Identifier)
+
+ architecture = design.Documents[0].Architectures[0]
+ self.assertEqual("rtl", architecture.Identifier)
+ print()
+ print(architecture.Documentation)
+ self.assertEqual(1, len(architecture.Documentation.splitlines()))