aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-07-23 18:24:24 +0200
committerTristan Gingold <tgingold@free.fr>2021-07-23 18:24:24 +0200
commita4a922a1dc053fdd382cd942311bd50d8808799d (patch)
tree4c6ed2e9dc9e8f566f5242e35b515319cb68822b
parentffa43831abc1e3f35796a83459dbd62757f2d286 (diff)
downloadghdl-a4a922a1dc053fdd382cd942311bd50d8808799d.tar.gz
ghdl-a4a922a1dc053fdd382cd942311bd50d8808799d.tar.bz2
ghdl-a4a922a1dc053fdd382cd942311bd50d8808799d.zip
testsuite/gna: add a test for previous commit
-rw-r--r--testsuite/gna/bug0100/inst2.vhdl11
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/inst2.vhdl b/testsuite/gna/bug0100/inst2.vhdl
new file mode 100644
index 000000000..4b7b8a6f6
--- /dev/null
+++ b/testsuite/gna/bug0100/inst2.vhdl
@@ -0,0 +1,11 @@
+entity inst2 is
+end;
+
+architecture behav of inst2 is
+begin
+ gen: if False b = 0 generate
+ component cmp is
+ end component;
+ begin
+ end generate;
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 00bf90caf..2e9d2203e 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -29,6 +29,7 @@ analyze_failure compon.vhdl
analyze_failure --force-analysis varcomp.vhdl
#analyze_failure --force-analysis name1.vhdl
#analyze_failure --force-analysis name2.vhdl
+analyze_failure --force-analysis inst2.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: