aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authortgingold <tgingold@users.noreply.github.com>2019-08-30 20:41:32 +0200
committerGitHub <noreply@github.com>2019-08-30 20:41:32 +0200
commit88942b924c92f2f90418706718b1bec3d38af007 (patch)
treebf8403a8f1c5639a97f999da31873316d2aad4e0
parent3c81c6f8fb41058e505c61db0f7d566ffebe2357 (diff)
parent5e1ef953f38c0347c760417cf7bddf554dc199a5 (diff)
downloadghdl-88942b924c92f2f90418706718b1bec3d38af007.tar.gz
ghdl-88942b924c92f2f90418706718b1bec3d38af007.tar.bz2
ghdl-88942b924c92f2f90418706718b1bec3d38af007.zip
synth: add physical division (#904)
* synth: added division of physical type * testsuite/synth: added test for the physical division
-rw-r--r--src/synth/synth-expr.adb12
-rw-r--r--testsuite/synth/physical01/physical_division.vhdl14
-rwxr-xr-xtestsuite/synth/physical01/testsuite.sh11
3 files changed, 36 insertions, 1 deletions
diff --git a/src/synth/synth-expr.adb b/src/synth/synth-expr.adb
index 26318bcf8..4d443d999 100644
--- a/src/synth/synth-expr.adb
+++ b/src/synth/synth-expr.adb
@@ -1330,6 +1330,15 @@ package body Synth.Expr is
else
return Synth_Compare (Id_Ne);
end if;
+ when Iir_Predefined_Physical_Physical_Div =>
+ if Is_Const (Left) and then Is_Const (Right) then
+ return Create_Value_Discrete
+ (Left.Scal / Right.Scal,
+ Get_Value_Type (Syn_Inst, Get_Type (Expr)));
+ else
+ Error_Msg_Synth (+Expr, "non-constant division not supported");
+ return null;
+ end if;
when others =>
Error_Msg_Synth (+Expr, "synth_dyadic_operation: unhandled "
@@ -2278,7 +2287,8 @@ package body Synth.Expr is
when Iir_Kind_Floating_Point_Literal =>
return Create_Value_Float
(Get_Fp_Value (Expr), Get_Value_Type (Syn_Inst, Expr_Type));
- when Iir_Kind_Physical_Int_Literal =>
+ when Iir_Kind_Physical_Int_Literal
+ | Iir_Kind_Physical_Fp_Literal =>
return Create_Value_Discrete
(Get_Physical_Value (Expr),
Get_Value_Type (Syn_Inst, Expr_Type));
diff --git a/testsuite/synth/physical01/physical_division.vhdl b/testsuite/synth/physical01/physical_division.vhdl
new file mode 100644
index 000000000..c632cd7de
--- /dev/null
+++ b/testsuite/synth/physical01/physical_division.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+entity physical_division is
+ port (
+ clk_cycles : out integer
+ );
+end physical_division;
+
+architecture rtl of physical_division is
+ constant CLK_PERIOD : time := 83.333 ns;
+begin
+ clk_cycles <= 100 ms / CLK_PERIOD;
+end rtl;
diff --git a/testsuite/synth/physical01/testsuite.sh b/testsuite/synth/physical01/testsuite.sh
new file mode 100755
index 000000000..594edb174
--- /dev/null
+++ b/testsuite/synth/physical01/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in physical_division; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl
+ clean
+done
+
+echo "Test successful"