aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-06-04 09:18:06 +0200
committerTristan Gingold <tgingold@free.fr>2022-06-04 16:27:51 +0200
commit7b03815747527c625c6c913bf019307e4495ad7e (patch)
treee8e93ea02c72c432819b756fdcfd2a43f0c0460d
parent92900fa26ec56e6e71ff2f9289f0a1f43a94764f (diff)
downloadghdl-7b03815747527c625c6c913bf019307e4495ad7e.tar.gz
ghdl-7b03815747527c625c6c913bf019307e4495ad7e.tar.bz2
ghdl-7b03815747527c625c6c913bf019307e4495ad7e.zip
testsuite/gna: add a test for previous commit
-rw-r--r--testsuite/gna/bug0100/emptyrec.vhdl11
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/emptyrec.vhdl b/testsuite/gna/bug0100/emptyrec.vhdl
new file mode 100644
index 000000000..7c771fb28
--- /dev/null
+++ b/testsuite/gna/bug0100/emptyrec.vhdl
@@ -0,0 +1,11 @@
+entity emptyrec is
+ port (
+ clk_i : in bit
+ );
+end emptyrec;
+
+architecture arch of emptyrec is
+ type t_counter_config is record
+ end record;
+begin
+end arch;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index d9e2210c4..cd3799b61 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -33,6 +33,7 @@ analyze_failure --force-analysis name4.vhdl
analyze_failure --force-analysis inst2.vhdl
analyze_failure arr_err1.vhdl
analyze_failure --force-analysis oper1.vhdl
+analyze_failure --force-analysis emptyrec.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: