aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-07-30 09:27:49 +0200
committerTristan Gingold <tgingold@free.fr>2022-07-30 09:27:49 +0200
commit4489329672d1e3b48a9784cd60bced769204ddc4 (patch)
treebf72d605b386dc786456766abb72d3b9f1bbf9fd
parenta8babf4111c6724dab1446e70d12da50a324eb5e (diff)
downloadghdl-4489329672d1e3b48a9784cd60bced769204ddc4.tar.gz
ghdl-4489329672d1e3b48a9784cd60bced769204ddc4.tar.bz2
ghdl-4489329672d1e3b48a9784cd60bced769204ddc4.zip
testsuite/gna: add a test for #2152
-rw-r--r--testsuite/gna/issue2152/e.vhdl12
-rw-r--r--testsuite/gna/issue2152/e1.vhdl11
-rw-r--r--testsuite/gna/issue2152/e2.vhdl12
-rwxr-xr-xtestsuite/gna/issue2152/testsuite.sh14
4 files changed, 49 insertions, 0 deletions
diff --git a/testsuite/gna/issue2152/e.vhdl b/testsuite/gna/issue2152/e.vhdl
new file mode 100644
index 000000000..cb207fc71
--- /dev/null
+++ b/testsuite/gna/issue2152/e.vhdl
@@ -0,0 +1,12 @@
+use std.textio.all;
+
+entity e is end;
+architecture a of e is
+begin
+ process
+ variable q : line(1 to 10) := new string(1 to 10);
+ begin
+ assert false severity failure;
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue2152/e1.vhdl b/testsuite/gna/issue2152/e1.vhdl
new file mode 100644
index 000000000..4bf24f0ab
--- /dev/null
+++ b/testsuite/gna/issue2152/e1.vhdl
@@ -0,0 +1,11 @@
+entity e1 is end;
+
+architecture a of e1 is
+ type line is access string;
+begin
+ process
+ variable q : line(1 to 10) := new string(1 to 10);
+ begin
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue2152/e2.vhdl b/testsuite/gna/issue2152/e2.vhdl
new file mode 100644
index 000000000..4f62eb54f
--- /dev/null
+++ b/testsuite/gna/issue2152/e2.vhdl
@@ -0,0 +1,12 @@
+entity e2 is end;
+
+architecture a of e2 is
+ type line is access string;
+begin
+ process
+ variable q : line(1 to 10);
+ begin
+ q := new string(1 to 10);
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue2152/testsuite.sh b/testsuite/gna/issue2152/testsuite.sh
new file mode 100755
index 000000000..836d3d9c9
--- /dev/null
+++ b/testsuite/gna/issue2152/testsuite.sh
@@ -0,0 +1,14 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze e.vhdl
+
+for u in e1 e2; do
+ analyze $u.vhdl
+ elab_simulate $u
+done
+
+clean
+
+echo "Test successful"