aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-05 05:23:06 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-05 05:23:06 +0200
commit40531a6c96296ee03a062956673049082527c6bf (patch)
treeefc9d87731422e5115363c0eb27552a352db3e8c
parente47a1497c12be2d7aeb23716a2f1a1659a3b417e (diff)
downloadghdl-40531a6c96296ee03a062956673049082527c6bf.tar.gz
ghdl-40531a6c96296ee03a062956673049082527c6bf.tar.bz2
ghdl-40531a6c96296ee03a062956673049082527c6bf.zip
testsuite/synth: add a test for #1282
-rw-r--r--testsuite/synth/issue1282/issue.vhdl12
-rwxr-xr-xtestsuite/synth/issue1282/testsuite.sh10
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1282/issue.vhdl b/testsuite/synth/issue1282/issue.vhdl
new file mode 100644
index 000000000..48dfaa8cf
--- /dev/null
+++ b/testsuite/synth/issue1282/issue.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue is
+ port (foo : in std_logic_vector (3 downto 0);
+ bar : out std_logic_vector (7 downto 0));
+end issue;
+
+architecture beh of issue is
+begin
+ bar <= ('0' & foo, others=>'0');
+end architecture beh;
diff --git a/testsuite/synth/issue1282/testsuite.sh b/testsuite/synth/issue1282/testsuite.sh
new file mode 100755
index 000000000..29460b8df
--- /dev/null
+++ b/testsuite/synth/issue1282/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_analyze issue
+
+clean
+
+echo "Test successful"