aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-15 18:47:41 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-15 18:49:03 +0200
commit32933b13ec02e0ea60504d9c2c354c198e8e5c13 (patch)
treebfc11228dc9d771645752538ee55a0e4cf31e3db
parentf0e5c6ed7f858c2975f8a2a1e611bc063b236f62 (diff)
downloadghdl-32933b13ec02e0ea60504d9c2c354c198e8e5c13.tar.gz
ghdl-32933b13ec02e0ea60504d9c2c354c198e8e5c13.tar.bz2
ghdl-32933b13ec02e0ea60504d9c2c354c198e8e5c13.zip
Add testcase for #869
-rw-r--r--testsuite/gna/issue869/alu.vhdl21
-rwxr-xr-xtestsuite/gna/issue869/testsuite.sh9
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue869/alu.vhdl b/testsuite/gna/issue869/alu.vhdl
new file mode 100644
index 000000000..a9742c2d1
--- /dev/null
+++ b/testsuite/gna/issue869/alu.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity alu is
+ port (
+ a : in std_logic;
+ b : in std_logic;
+ y : out std_logic
+ );
+end alu;
+
+architecture mux of alu is
+ signal mux1: std_logic_vector(7 downto 0);
+begin
+
+ process(a, b)
+ begin
+ y <= mux1(a & b); -- now allowed
+ end process;
+end mux;
diff --git a/testsuite/gna/issue869/testsuite.sh b/testsuite/gna/issue869/testsuite.sh
new file mode 100755
index 000000000..9ae22e9d7
--- /dev/null
+++ b/testsuite/gna/issue869/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure alu.vhdl
+
+clean
+
+echo "Test successful"