diff options
author | Tristan Gingold <tgingold@free.fr> | 2016-07-18 07:01:33 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2016-07-18 07:01:33 +0200 |
commit | 27ec9a1711320105bce54b27589b4d5922f67f8b (patch) | |
tree | a87dbcd23082d10ce02cf97f83065a6ee1eda4c3 | |
parent | afaf45e1da10e91cbab6856b1b97203b9f7c7e99 (diff) | |
download | ghdl-27ec9a1711320105bce54b27589b4d5922f67f8b.tar.gz ghdl-27ec9a1711320105bce54b27589b4d5922f67f8b.tar.bz2 ghdl-27ec9a1711320105bce54b27589b4d5922f67f8b.zip |
Add testcase for previous patch (synopsys package not found).
-rw-r--r-- | testsuite/gna/bug047/repro_arith.vhdl | 15 | ||||
-rwxr-xr-x | testsuite/gna/bug047/testsuite.sh | 10 |
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/bug047/repro_arith.vhdl b/testsuite/gna/bug047/repro_arith.vhdl new file mode 100644 index 000000000..4160c2f73 --- /dev/null +++ b/testsuite/gna/bug047/repro_arith.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_arith.all; + +entity repro_arith is +end repro_arith; + +architecture behav of repro_arith is + signal s : unsigned (7 downto 0) := x"00"; +begin + process + begin + s <= s + 1; + wait for 1 ns; + end process; +end behav; diff --git a/testsuite/gna/bug047/testsuite.sh b/testsuite/gna/bug047/testsuite.sh new file mode 100755 index 000000000..9ccf25f74 --- /dev/null +++ b/testsuite/gna/bug047/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +#GHDL_FLAGS=--ieee=synopsys +analyze_failure repro_arith.vhdl 2>&1 | grep "non-standard synopsys" + +clean + +echo "Test successful" |