aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-03 08:07:39 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-18 19:19:54 +0200
commit1b85bf44cac4f7d1478a26822579891a9d4486d3 (patch)
tree7e313303143d4da6ab3eab4a7cc94f21cbc728fd
parentc231a008f41049bd6b92061b353099002d7bef1e (diff)
downloadghdl-1b85bf44cac4f7d1478a26822579891a9d4486d3.tar.gz
ghdl-1b85bf44cac4f7d1478a26822579891a9d4486d3.tar.bz2
ghdl-1b85bf44cac4f7d1478a26822579891a9d4486d3.zip
testsuite/synth: improve test for previous path. For #1387
-rw-r--r--testsuite/synth/issue1387/repro1.vhdl1
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/synth/issue1387/repro1.vhdl b/testsuite/synth/issue1387/repro1.vhdl
index f1277137d..4744a9d62 100644
--- a/testsuite/synth/issue1387/repro1.vhdl
+++ b/testsuite/synth/issue1387/repro1.vhdl
@@ -1,5 +1,6 @@
package repro1_pkg is
signal s : bit;
+ constant cst : natural := 5;
end;
use work.repro1_pkg.all;