aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-25 08:05:32 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-25 08:05:58 +0200
commit15fca8c254f44c5ae5dbe945195c12035f485df5 (patch)
tree43d4ab5d66992a7a923d9ac70d24587190bee534
parent13fcbfe8a2ef3e027458b88d338909172e6f5133 (diff)
downloadghdl-15fca8c254f44c5ae5dbe945195c12035f485df5.tar.gz
ghdl-15fca8c254f44c5ae5dbe945195c12035f485df5.tar.bz2
ghdl-15fca8c254f44c5ae5dbe945195c12035f485df5.zip
testsuite/synth: add testcase for #940
-rwxr-xr-xtestsuite/synth/bug01/testsuite.sh6
-rw-r--r--testsuite/synth/issue940/ent.vhdl11
-rwxr-xr-xtestsuite/synth/issue940/testsuite.sh11
3 files changed, 26 insertions, 2 deletions
diff --git a/testsuite/synth/bug01/testsuite.sh b/testsuite/synth/bug01/testsuite.sh
index 423496718..a3d0d3f95 100755
--- a/testsuite/synth/bug01/testsuite.sh
+++ b/testsuite/synth/bug01/testsuite.sh
@@ -2,8 +2,10 @@
. ../../testenv.sh
-synth display.vhdl -e display > syn_display.vhdl
-analyze syn_display.vhdl
+for f in display; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+ analyze syn_$f.vhdl
+done
clean
echo "Test successful"
diff --git a/testsuite/synth/issue940/ent.vhdl b/testsuite/synth/issue940/ent.vhdl
new file mode 100644
index 000000000..b4ecc25e5
--- /dev/null
+++ b/testsuite/synth/issue940/ent.vhdl
@@ -0,0 +1,11 @@
+entity ent is
+ port (
+ i : in bit_vector(3 downto 0);
+ o : out bit_vector(3 downto 0)
+ );
+end entity;
+
+architecture a of ent is
+begin
+ o <= i;
+end;
diff --git a/testsuite/synth/issue940/testsuite.sh b/testsuite/synth/issue940/testsuite.sh
new file mode 100755
index 000000000..6ae2a9715
--- /dev/null
+++ b/testsuite/synth/issue940/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in ent; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+ analyze syn_$f.vhdl
+done
+clean
+
+echo "Test successful"