diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-11-30 13:25:32 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-11-30 13:25:32 +0100 |
commit | 093efdbed5f23119eef834e8a6e65bf2aeddfc72 (patch) | |
tree | 0b551fde9e03d57e2242904dfd3ff382ae816835 | |
parent | 6be88e751d21f5009735473ef1501b5337212d09 (diff) | |
download | ghdl-093efdbed5f23119eef834e8a6e65bf2aeddfc72.tar.gz ghdl-093efdbed5f23119eef834e8a6e65bf2aeddfc72.tar.bz2 ghdl-093efdbed5f23119eef834e8a6e65bf2aeddfc72.zip |
testsuite: remove artifact.
-rw-r--r-- | testsuite/synth/issue1032/syn_ent.vhdl | 10 |
1 files changed, 0 insertions, 10 deletions
diff --git a/testsuite/synth/issue1032/syn_ent.vhdl b/testsuite/synth/issue1032/syn_ent.vhdl deleted file mode 100644 index 3cec16531..000000000 --- a/testsuite/synth/issue1032/syn_ent.vhdl +++ /dev/null @@ -1,10 +0,0 @@ -entity ent is -end ent; - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -architecture rtl of ent is -begin -end rtl; |