aboutsummaryrefslogtreecommitdiffstats
path: root/.github/ISSUE_TEMPLATE
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2018-05-26 13:09:16 +0200
committer1138-4EB <1138-4EB@users.noreply.github.com>2018-05-26 22:16:42 +0200
commit7a005d5e7652517d5818e201d2d81c597ac75189 (patch)
treecada732fa6767cd7ad91da17d81380d8ed670def /.github/ISSUE_TEMPLATE
parent411cca2e3afd590f4e0c50fec08165de5a11b807 (diff)
downloadghdl-7a005d5e7652517d5818e201d2d81c597ac75189.tar.gz
ghdl-7a005d5e7652517d5818e201d2d81c597ac75189.tar.bz2
ghdl-7a005d5e7652517d5818e201d2d81c597ac75189.zip
add .github (issue templates)
Diffstat (limited to '.github/ISSUE_TEMPLATE')
-rw-r--r--.github/ISSUE_TEMPLATE/bug_report.md59
-rw-r--r--.github/ISSUE_TEMPLATE/feature_request.md16
2 files changed, 75 insertions, 0 deletions
diff --git a/.github/ISSUE_TEMPLATE/bug_report.md b/.github/ISSUE_TEMPLATE/bug_report.md
new file mode 100644
index 000000000..2acdd2cd3
--- /dev/null
+++ b/.github/ISSUE_TEMPLATE/bug_report.md
@@ -0,0 +1,59 @@
+---
+name: Bug report
+about: Create a report to help us improve
+---
+
+**Description**
+A clear and concise description of what the issue is about.
+
+**Expected behaviour**
+What you expected to happen, and what is happening instead.
+
+**Context**
+Please paste the `GHDL Bug occurred` log block here. Also, provide the following information:
+
+- OS:
+- Origin:
+ - [ ] Package manager. Repo:
+ - [ ] Released binaries. Tarball:
+ - [ ] Built from sources. Commit SHA:
+
+**Additional context**
+Add any other context about the problem here. If applicable, add screenshots to help explain your problem.
+
+**How to reproduce?**
+Tell us how to reproduce this issue. Please provide a Minimal Working Example (MWE), that is compatible with [issue-runner](https://github.com/1138-4EB/issue-runner). With sample code it's easier to reproduce the bug and it's much faster to fix it. For example:
+
+```
+#>> ent.vhd
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ process begin
+ report "Hello world" severity note;
+ end process;
+end;
+
+#>> sim.sh
+ghdl -a ent.vhd
+ghdl --elab-run ent
+
+#>> run.sh
+docker run --rm -tv /$(pwd):/src -w //src ghdl/ghdl:buster-mcode sh -c ./sim.sh
+
+#>> end
+```
+
+Note that `run.sh` is used to execute `sim.sh` inside a docker container. Please, put your commands in `sim.sh` and just copy `run.sh` from the example. Using `ghdl/ghdl:*` docker images to run the MWEs ensures that the latest available GHDL is used.
+
+**Files**
+A list of relevant files for this issue. Large files can be uploaded one-by-one or in a tarball/zipfile. See [1138-4EB/issue-runner#parser](https://github.com/1138-4EB/issue-runner#parser).
+
+**Checklist**
+Before submitting your issue, please review the following checklist:
+
+- [ ] Add `GHDL Bug occurred` log block
+- [ ] Add a MWE
+- [ ] Try the latest version
diff --git a/.github/ISSUE_TEMPLATE/feature_request.md b/.github/ISSUE_TEMPLATE/feature_request.md
new file mode 100644
index 000000000..d2c13ab82
--- /dev/null
+++ b/.github/ISSUE_TEMPLATE/feature_request.md
@@ -0,0 +1,16 @@
+---
+name: Feature request
+about: Suggest an idea for this project
+---
+
+**Is your feature request related to a problem? Please describe.**
+Add a clear and concise description of what the problem is. E.g. *I'm always frustrated when [...]*
+
+**Describe the solution you'd like**
+Add a clear and concise description of what you want to happen.
+
+**Describe alternatives you've considered**
+Add a clear and concise description of any alternative solutions or features you've considered.
+
+**Additional context**
+Add any other context or screenshots about the feature request here.