aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue159/repro2.vhdl
blob: b230555ddd4885b0e371bef738248cd35b0ed782 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro2 is
  port (i : std_logic;
        o : out std_logic);
end;

architecture behav of repro2 is
  signal v : std_logic;
begin
  process(i)
  begin
    o <= i or v;
  end process;
end behav;