aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue158/repro3.vhdl
blob: f2cf36791769d6245f65806f434d0d0f91217d7a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity repro3 is
  port(
   clk : in std_logic;
   inp : std_logic;
   module_to_clk_cross : out std_ulogic);
end;

architecture arch of repro3 is
  type variables_t is record
    iftrue : std_logic_vector(3 downto 0);
    inp : std_logic;
    return_output : std_logic_vector(3 downto 0);
  end record;
begin
  process (clk) is
    variable read_pipe : variables_t;
    variable write_pipe : variables_t;
  begin
    write_pipe := read_pipe;
    write_pipe.inp := inp;
    read_pipe := write_pipe;
  end process;
end arch;