aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue154/testsuite.sh
blob: 8ed5a44c3aece267796250793946dbdc78be6d1e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

run_yosys -Q -q -p "ghdl keep.vhdl -e; write_verilog keep.v"

# Check the signal still exists
fgrep -q "wire [2:0] a" keep.v

rm -f *.v
echo OK