aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/issue1699/testsuite.sh
blob: 2636855b2255a4c72a84d1e5d362b9a5af9ea34c (plain)
1
2
3
4
5
6
7
8
9
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

run_yosys -p "ghdl --std=08 test2.vhdl -e; write_verilog test2.v"

clean
echo OK