aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/issue1682/testsuite.sh
blob: 99f2d5c9a6945b42d68d7b98f68a511957a1d581 (plain)
1
2
3
4
5
6
7
8
9
10
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

run_yosys -q -p "ghdl --std=08 top.vhdl -e; write_verilog exp.v"
fgrep 'loc = "13"' exp.v > /dev/null

clean
echo OK