aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/led_on/led_on.vhdl
blob: 49a88ad04385e3f2e82548268ae19e3a92a8cba3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity led_on is
  port (led0, led1, led2, led3, led4, led5, led6, led7 : out std_logic);
end led_on;

architecture test of led_on is
begin

  -- Turn on the Led0
  led0 <= '1';

  -- Turn off the other leds
  (led1, led2, led3, led4, led5, led6, led7) <= std_logic_vector'("0000000");

end test;