blob: ab6f5d79bad039b6d51c836d8782bc9cc8f3763c (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
|
PROJ ?= blink
PIN_DEF = icezum.pcf
DEVICE = hx1k
all: report bin
json: $(PROJ).vhdl
yosys -m ghdl -p 'ghdl $(PROJ).vhdl -e $(PROJ); synth_ice40 -json $@'
asc: $(PIN_DEF) json
nextpnr-ice40 --$(DEVICE) --json json --pcf $(PIN_DEF) --asc $@
bin: asc
icepack $< $@
report: asc
icetime -d $(DEVICE) -mtr $@ $<
clean:
rm -f json asc bin report work-obj93.cf
.SECONDARY:
.PHONY: all prog clean
|