aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue160/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issues/issue160/testsuite.sh')
-rwxr-xr-xtestsuite/issues/issue160/testsuite.sh10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/issues/issue160/testsuite.sh b/testsuite/issues/issue160/testsuite.sh
new file mode 100755
index 0000000..0219689
--- /dev/null
+++ b/testsuite/issues/issue160/testsuite.sh
@@ -0,0 +1,10 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+for f in fpu fpu2; do
+ synth_import "--std=08 ${f}.vhdl -e"
+done
+
+echo OK