aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issue11/test_xnor.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issue11/test_xnor.vhdl')
-rw-r--r--testsuite/issue11/test_xnor.vhdl14
1 files changed, 0 insertions, 14 deletions
diff --git a/testsuite/issue11/test_xnor.vhdl b/testsuite/issue11/test_xnor.vhdl
deleted file mode 100644
index 4a706f0..0000000
--- a/testsuite/issue11/test_xnor.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_xnor is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_xnor;
-
-architecture synth of test_xnor is
-begin
-
- c <= sel1 xnor sel0;
-
-end synth;