aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/issue2392b/psl_p_plus.ys
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/ghdl-issues/issue2392b/psl_p_plus.ys')
-rw-r--r--testsuite/ghdl-issues/issue2392b/psl_p_plus.ys12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue2392b/psl_p_plus.ys b/testsuite/ghdl-issues/issue2392b/psl_p_plus.ys
new file mode 100644
index 0000000..d1a4972
--- /dev/null
+++ b/testsuite/ghdl-issues/issue2392b/psl_p_plus.ys
@@ -0,0 +1,12 @@
+ghdl --std=08 psl_p_plus.vhdl -e psl_p_plus
+
+flatten
+rename -enumerate -pattern unnamed_assert_% t:$assert
+rename -enumerate -pattern unnamed_assume_% t:$assume
+rename -enumerate -pattern unnamed_cover_% t:$cover
+
+expose -evert t:$assert t:$assume t:$cover
+
+opt
+
+write_verilog synth_psl_p_plus.v