aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/issue1307/run_vivado.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/ghdl-issues/issue1307/run_vivado.tcl')
-rw-r--r--testsuite/ghdl-issues/issue1307/run_vivado.tcl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue1307/run_vivado.tcl b/testsuite/ghdl-issues/issue1307/run_vivado.tcl
new file mode 100644
index 0000000..19e2624
--- /dev/null
+++ b/testsuite/ghdl-issues/issue1307/run_vivado.tcl
@@ -0,0 +1,9 @@
+read_xdc NexysVideo.xdc
+read_edif hdmi_design.edif
+link_design -part xc7a35tcpg236-1 -top hdmi_design
+opt_design
+place_design
+route_design
+report_utilization
+report_timing
+write_bitstream -force example.bit