aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-23 17:42:02 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-23 17:42:43 +0200
commit386ad8152b179d07b900012ca5bbadeaec452f3d (patch)
tree0ff0023fdaa72cda4c6f8749db6d50c01a9eec36 /testsuite
parent3507458eea01664173a86ab8aab6a53f561791b1 (diff)
downloadghdl-yosys-plugin-386ad8152b179d07b900012ca5bbadeaec452f3d.tar.gz
ghdl-yosys-plugin-386ad8152b179d07b900012ca5bbadeaec452f3d.tar.bz2
ghdl-yosys-plugin-386ad8152b179d07b900012ca5bbadeaec452f3d.zip
Add test for ghdl#1238
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl21
-rwxr-xr-xtestsuite/ghdl-issues/issue1238/testsuite.sh9
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl b/testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl
new file mode 100644
index 0000000..71659cd
--- /dev/null
+++ b/testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity multiplexers_3 is
+
+ port (di : in std_logic_vector(7 downto 0);
+ sel : in std_logic_vector(7 downto 0);
+ do : out std_logic);
+end multiplexers_3;
+
+architecture archi of multiplexers_3 is
+begin
+ do <= di(0) when sel(0)='0' else 'Z';
+ do <= di(1) when sel(1)='0' else 'Z';
+ do <= di(2) when sel(2)='0' else 'Z';
+ do <= di(3) when sel(3)='0' else 'Z';
+ do <= di(4) when sel(4)='0' else 'Z';
+ do <= di(5) when sel(5)='0' else 'Z';
+ do <= di(6) when sel(6)='0' else 'Z';
+ do <= di(7) when sel(7)='0' else 'Z';
+end archi;
diff --git a/testsuite/ghdl-issues/issue1238/testsuite.sh b/testsuite/ghdl-issues/issue1238/testsuite.sh
new file mode 100755
index 0000000..bdea9d3
--- /dev/null
+++ b/testsuite/ghdl-issues/issue1238/testsuite.sh
@@ -0,0 +1,9 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+synth_import --std=08 multiplexers_3.vhdl -e
+
+clean
+echo OK