aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/testenv.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-14 05:46:00 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-14 05:46:00 +0100
commite52d581c33248aefc47e7c97ce6978d6bda31bfe (patch)
tree42f61dabe4f2f8816725631eb30f4ddafd6fae6b /testsuite/testenv.sh
parent37f32aeba8adf5696f64b4d17298a7e3e5c4a38e (diff)
downloadghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.tar.gz
ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.tar.bz2
ghdl-yosys-plugin-e52d581c33248aefc47e7c97ce6978d6bda31bfe.zip
Add tests for icezum
Diffstat (limited to 'testsuite/testenv.sh')
-rw-r--r--testsuite/testenv.sh10
1 files changed, 8 insertions, 2 deletions
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 87aca65..25ff30c 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -10,16 +10,22 @@ if [ x"$YOSYS" = x ]; then
YOSYS="yosys -m ../../ghdl.so"
fi
+cmd ()
+{
+ echo "$@"
+ "$@"
+}
+
analyze ()
{
echo "analyze $@"
- "$GHDL" -a $GHDL_STD_FLAGS $GHDL_FLAGS $@
+ cmd "$GHDL" -a $GHDL_STD_FLAGS $GHDL_FLAGS $@
}
synth ()
{
echo "synthesize $@"
- "$YOSYS" -p "ghdl $@; synth_ice40 -blif out.blif"
+ cmd "$YOSYS" -Q -q -p "ghdl $@; synth_ice40 -blif out.blif"
}
clean ()