aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/testenv.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-06 03:55:50 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-06 03:55:50 +0100
commit690470aa7380ce198bfa170977a0932b83123a72 (patch)
tree2b5b0e29ee39d99e102216dd2b3f7a9262199e4e /testsuite/testenv.sh
parent4d1d02bc7e777a39122fdeefaa4559615c1d106d (diff)
downloadghdl-yosys-plugin-690470aa7380ce198bfa170977a0932b83123a72.tar.gz
ghdl-yosys-plugin-690470aa7380ce198bfa170977a0932b83123a72.tar.bz2
ghdl-yosys-plugin-690470aa7380ce198bfa170977a0932b83123a72.zip
testsuite: reduce verbosity.
Diffstat (limited to 'testsuite/testenv.sh')
-rw-r--r--testsuite/testenv.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 1c2bbae..5dd7811 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -48,7 +48,7 @@ analyze ()
synth_import ()
{
travis_start "synth" "Synthesize $*"
- run_yosys -p "ghdl $*"
+ run_yosys -q -p "ghdl $*"
status=$?
travis_finish "synth"
return $status
@@ -57,7 +57,7 @@ synth_import ()
synth_ice40 ()
{
travis_start "synth" "Synthesize $*"
- run_yosys -p "ghdl $*; synth_ice40 -blif out.blif"
+ run_yosys -q -p "ghdl $*; synth_ice40 -blif out.blif"
travis_finish "synth"
}