aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-02 21:35:36 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-02 21:35:36 +0100
commitd7437256f54daddece9fc39b506050080762f858 (patch)
tree76437c321bd237ea0ef43f3c308e4f2249e49ef5 /README.md
parentbd7e5c9457471bb24d825574c9aa3d9a3af63c03 (diff)
downloadghdl-yosys-plugin-d7437256f54daddece9fc39b506050080762f858.tar.gz
ghdl-yosys-plugin-d7437256f54daddece9fc39b506050080762f858.tar.bz2
ghdl-yosys-plugin-d7437256f54daddece9fc39b506050080762f858.zip
Add build and use instructions.
Diffstat (limited to 'README.md')
-rw-r--r--README.md42
1 files changed, 42 insertions, 0 deletions
diff --git a/README.md b/README.md
index 59ef622..4ef0883 100644
--- a/README.md
+++ b/README.md
@@ -1,2 +1,44 @@
# ghdlsynth-beta
VHDL synthesis (based on ghdl)
+
+This is awfully experimental and work in progress!
+
+## How to build
+
+FIXME: should provide an easy way to build as a module!
+
+Get ghdl from github,
+build and install
+build and install libghdlsynth.a:
+```sh
+$ make libghdlsynth.a
+$ make install.libghdlsynth
+```
+
+Get yosys.
+
+From ghdlsynth-beta:
+Patch yosys sources using yosys.diff
+Copy the ghdl/ directory in yosys/frontends
+
+Configure yosys.
+In Makefile.conf, add:
+```makefile
+ENABLE_GHDL := 1
+GHDL_DIR := <ghdl install dir>
+```
+
+Build yosys.
+
+## How to use
+
+Example for icestick:
+
+```sh
+ghdl -a leds.vhdl
+ghdl -a spin1.vhdl
+yosys -p 'ghdl leds; synth_ice40 -blif leds.blif'
+arachne-pnr -d 1k -o leds.asc -p leds.pcf leds.blif
+icepack leds.asc leds.bin
+ceprog leds.bin
+```