aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorAimylios <20016942+aimylios@users.noreply.github.com>2020-04-19 14:20:37 +0200
committertgingold <tgingold@users.noreply.github.com>2020-04-19 16:08:35 +0200
commit8bb8453af7acd34705a449cac9cd8427d6db43ba (patch)
tree9243aaa5ec9c4f91abd7291af432dbba41772815 /README.md
parentd941c8f65bbbb90f97c17e26b5610624c2198b10 (diff)
downloadghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.tar.gz
ghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.tar.bz2
ghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.zip
Improve examples for Lattice iCEstick
- move "leds" examples to subdirectory - add Makefile - add *.json files to .gitignore - adjust README.md and fix some typos
Diffstat (limited to 'README.md')
-rw-r--r--README.md12
1 files changed, 8 insertions, 4 deletions
diff --git a/README.md b/README.md
index 359746b..ba8b0a2 100644
--- a/README.md
+++ b/README.md
@@ -53,7 +53,7 @@ yosys-config --exec ln -s "$GHDL_PREFIX/lib/ghdl_yosys.so" --datdir/plugins/ghdl
- Get [yosys](https://github.com/YosysHQ/yosys) sources.
-- Get ghdlsynth-beta and:
+- Get ghdl-yosys-plugin and:
- Patch yosys sources using `yosys.diff`.
- Copy `src/*` to `yosys/frontends/ghdl`.
- Configure yosys by adding (to) `Makefile.conf`:
@@ -70,7 +70,7 @@ GHDL_DIR := <ghdl install dir>
Example for icestick, using ghdl, yosys, nextpnr and icestorm:
```sh
-cd examples/icestick/
+cd examples/icestick/leds/
# Analyse VHDL sources
ghdl -a leds.vhdl
@@ -78,7 +78,7 @@ ghdl -a spin1.vhdl
# Synthesize the design.
# NOTE: if ghdl is built as a module, set MODULE to '-m ghdl' or '-m path/to/ghdl.so'
-yosys $MODULE -p 'ghdl leds; synth_ice40 --json leds.json'
+yosys $MODULE -p 'ghdl leds; synth_ice40 -json leds.json'
# P&R
nextpnr-ice40 --package hx1k --pcf leds.pcf --asc leds.asc --json leds.json
@@ -90,7 +90,11 @@ icepack leds.asc leds.bin
iceprog leds.bin
```
-Alternatively, it is possible to analyze, elaborate and synthesize VHDL sources at once, instead of calling ghdl and yosys in two steps. In this example: `yosys $MODULE -p 'ghdl leds.vhdl spin1.vhdl -e leds; synth_ice40 --json leds.json`.
+Alternatively, it is possible to analyze, elaborate and synthesize VHDL sources at once, instead of calling ghdl and yosys in two steps. In this example:
+
+```
+yosys $MODULE -p 'ghdl leds.vhdl spin1.vhdl -e leds; synth_ice40 -json leds.json'
+```
## Docker