aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-03 07:32:55 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-03 07:32:55 +0200
commit10abee332bca6ca29c440a5288b47db82efa5b9d (patch)
tree8f5cc271057afa1f668e66c07f821b30590b775a /README.md
parent88a33b79bf70f3a27a5274daab03a90683163226 (diff)
downloadghdl-yosys-plugin-10abee332bca6ca29c440a5288b47db82efa5b9d.tar.gz
ghdl-yosys-plugin-10abee332bca6ca29c440a5288b47db82efa5b9d.tar.bz2
ghdl-yosys-plugin-10abee332bca6ca29c440a5288b47db82efa5b9d.zip
README: adjust.
Diffstat (limited to 'README.md')
-rw-r--r--README.md22
1 files changed, 15 insertions, 7 deletions
diff --git a/README.md b/README.md
index e67c29c..ea1f7b0 100644
--- a/README.md
+++ b/README.md
@@ -2,10 +2,15 @@
VHDL synthesis (based on ghdl)
This is awfully experimental and work in progress!
+
TODO: Explain purpose of program.
+
What is the relationship with GHDL? Is it going to be integrated in GHDL once it is fully featured?
+
What kind of VHDL do we want to support? (GHDL fully supports the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partially the latest 2008 revision, according to the website)
+
Explain expected input and outputs.
+
Create table with features of VHDL that are supported, WIP and pending.
## How to build as a module
@@ -15,17 +20,14 @@ Get and install yosys.
Get ghdl from github.
Get the latest version of GNAT:
-This doesn't work. Cannot find gnat-7 in apt-get.
```sh
-$ sudo apt-get install gnat-7
+$ sudo apt-get install gnat-8
```
From ghdl, build and install `libghdlsynth.so`. You may need sudo permission.
```sh
$ make libghdlsynth.so
-$ make install.libghdlsynth.included
$ make install.libghdlsynth.shared
-$ make install.libghdlsynth.static
```
From ghdlsynth-beta:
@@ -40,7 +42,13 @@ This generates `ghdl.so`, which can be used directly:
$ yosys -m ghdl.so
```
-## How to build (not recommended)
+To install the module:
+
+```sh
+make GHDL_PREFIX=/usr/local/ install
+```
+
+## How to build as part of yosys (not recommended)
Get ghdl from github,
build and install
@@ -56,7 +64,7 @@ From ghdlsynth-beta:
Patch yosys sources using `yosys.diff`
Copy the `ghdl/` directory in `yosys/frontends`
-## Configure yosys.
+### Configure yosys.
In Makefile.conf, add:
```makefile
ENABLE_GHDL := 1
@@ -65,7 +73,7 @@ GHDL_DIR := <ghdl install dir>
Build yosys.
-## How to use
+### How to use
Example for icestick: