summaryrefslogtreecommitdiffstats
path: root/spartan6/hp_lcd_driver/synchronizer.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'spartan6/hp_lcd_driver/synchronizer.vhdl')
-rw-r--r--spartan6/hp_lcd_driver/synchronizer.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/spartan6/hp_lcd_driver/synchronizer.vhdl b/spartan6/hp_lcd_driver/synchronizer.vhdl
index 99618b9..302cef9 100644
--- a/spartan6/hp_lcd_driver/synchronizer.vhdl
+++ b/spartan6/hp_lcd_driver/synchronizer.vhdl
@@ -16,7 +16,7 @@ begin
o <= flipflops(flipflops'high);
- clk_proc : process(clk,flipflops,i)
+ clk_proc : process(clk, flipflops, i)
begin
if rising_edge(clk) then
flipflops <= flipflops(flipflops'high-1 downto 0) & i;