summaryrefslogtreecommitdiffstats
path: root/fpga/smh-ac415-fpga/examples/01_led
diff options
context:
space:
mode:
authorJames McKenzie <root@ka-ata-killa.panaceas.james.local>2025-05-01 00:01:51 +0100
committerJames McKenzie <root@ka-ata-killa.panaceas.james.local>2025-05-01 00:01:51 +0100
commitd27f19b99a155bc9a758776426e16c39dfec1ff4 (patch)
treedc612ff4424ed3f1319e614a01aca64885be2fb2 /fpga/smh-ac415-fpga/examples/01_led
parent9486ded473236e49d70faa9598e355291e9e1f52 (diff)
downloadhp_instrument_lcds-d27f19b99a155bc9a758776426e16c39dfec1ff4.tar.gz
hp_instrument_lcds-d27f19b99a155bc9a758776426e16c39dfec1ff4.tar.bz2
hp_instrument_lcds-d27f19b99a155bc9a758776426e16c39dfec1ff4.zip
tidy up smh-ac415
Diffstat (limited to 'fpga/smh-ac415-fpga/examples/01_led')
-rw-r--r--fpga/smh-ac415-fpga/examples/01_led/led/led.qpf30
-rw-r--r--fpga/smh-ac415-fpga/examples/01_led/led/led.qsf65
-rw-r--r--fpga/smh-ac415-fpga/examples/01_led/led/led.qwsbin1287 -> 0 bytes
-rw-r--r--fpga/smh-ac415-fpga/examples/01_led/led/led.v21
-rw-r--r--fpga/smh-ac415-fpga/examples/01_led/实验现象.txt2
5 files changed, 0 insertions, 118 deletions
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.qpf b/fpga/smh-ac415-fpga/examples/01_led/led/led.qpf
deleted file mode 100644
index 5592af6..0000000
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.qpf
+++ /dev/null
@@ -1,30 +0,0 @@
-# -------------------------------------------------------------------------- #
-#
-# Copyright (C) 1991-2013 Altera Corporation
-# Your use of Altera Corporation's design tools, logic functions
-# and other software and tools, and its AMPP partner logic
-# functions, and any output files from any of the foregoing
-# (including device programming or simulation files), and any
-# associated documentation or information are expressly subject
-# to the terms and conditions of the Altera Program License
-# Subscription Agreement, Altera MegaCore Function License
-# Agreement, or other applicable license agreement, including,
-# without limitation, that your use is for the sole purpose of
-# programming logic devices manufactured by Altera and sold by
-# Altera or its authorized distributors. Please refer to the
-# applicable agreement for further details.
-#
-# -------------------------------------------------------------------------- #
-#
-# Quartus II 64-Bit
-# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
-# Date created = 01:45:54 June 02, 2023
-#
-# -------------------------------------------------------------------------- #
-
-QUARTUS_VERSION = "13.0"
-DATE = "01:45:54 June 02, 2023"
-
-# Revisions
-
-PROJECT_REVISION = "led"
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.qsf b/fpga/smh-ac415-fpga/examples/01_led/led/led.qsf
deleted file mode 100644
index 1b5ae22..0000000
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.qsf
+++ /dev/null
@@ -1,65 +0,0 @@
-# -------------------------------------------------------------------------- #
-#
-# Copyright (C) 1991-2013 Altera Corporation
-# Your use of Altera Corporation's design tools, logic functions
-# and other software and tools, and its AMPP partner logic
-# functions, and any output files from any of the foregoing
-# (including device programming or simulation files), and any
-# associated documentation or information are expressly subject
-# to the terms and conditions of the Altera Program License
-# Subscription Agreement, Altera MegaCore Function License
-# Agreement, or other applicable license agreement, including,
-# without limitation, that your use is for the sole purpose of
-# programming logic devices manufactured by Altera and sold by
-# Altera or its authorized distributors. Please refer to the
-# applicable agreement for further details.
-#
-# -------------------------------------------------------------------------- #
-#
-# Quartus II 64-Bit
-# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
-# Date created = 01:45:54 June 02, 2023
-#
-# -------------------------------------------------------------------------- #
-#
-# Notes:
-#
-# 1) The default values for assignments are stored in the file:
-# led_assignment_defaults.qdf
-# If this file doesn't exist, see file:
-# assignment_defaults.qdf
-#
-# 2) Altera recommends that you do not modify this file. This
-# file is updated automatically by the Quartus II software
-# and any changes you make may be lost or overwritten.
-#
-# -------------------------------------------------------------------------- #
-
-
-set_global_assignment -name FAMILY "Cyclone IV E"
-set_global_assignment -name DEVICE EP4CE15F23C8
-set_global_assignment -name TOP_LEVEL_ENTITY led
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:45:54 JUNE 02, 2023"
-set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
-set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
-set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
-set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
-set_global_assignment -name VERILOG_FILE led.v
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
-set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
-set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
-set_location_assignment PIN_F12 -to key1
-set_location_assignment PIN_F13 -to key2
-set_location_assignment PIN_F14 -to key3
-set_location_assignment PIN_F15 -to key4
-set_location_assignment PIN_U20 -to key5
-set_location_assignment PIN_AB16 -to led1
-set_location_assignment PIN_AA17 -to led2
-set_location_assignment PIN_AA21 -to led3
-set_location_assignment PIN_W22 -to led4
-set_location_assignment PIN_W17 -to led5
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.qws b/fpga/smh-ac415-fpga/examples/01_led/led/led.qws
deleted file mode 100644
index 5433526..0000000
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.qws
+++ /dev/null
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.v b/fpga/smh-ac415-fpga/examples/01_led/led/led.v
deleted file mode 100644
index 6c849bc..0000000
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module led(
-input key1,
-input key2,
-input key3,
-input key4,
-input key5,
-output led1,
-output led2,
-output led3,
-output led4,
-output led5
- );
-
- assign led1=key1;
- assign led2=key2;
- assign led3=key3;
- assign led4=key4;
- assign led5=key5;
-
-
-endmodule \ No newline at end of file
diff --git a/fpga/smh-ac415-fpga/examples/01_led/实验现象.txt b/fpga/smh-ac415-fpga/examples/01_led/实验现象.txt
deleted file mode 100644
index 06a5264..0000000
--- a/fpga/smh-ac415-fpga/examples/01_led/实验现象.txt
+++ /dev/null
@@ -1,2 +0,0 @@
-现象:按下k1,k2,k3,k4,rst,分别有led灯对应点亮。
-测试:可以测试5个用户按钮是否正常。 \ No newline at end of file