aboutsummaryrefslogtreecommitdiffstats
path: root/sn76489-1.0/sn76489_top.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'sn76489-1.0/sn76489_top.vhd')
-rw-r--r--sn76489-1.0/sn76489_top.vhd12
1 files changed, 10 insertions, 2 deletions
diff --git a/sn76489-1.0/sn76489_top.vhd b/sn76489-1.0/sn76489_top.vhd
index d08fc14..277f601 100644
--- a/sn76489-1.0/sn76489_top.vhd
+++ b/sn76489-1.0/sn76489_top.vhd
@@ -196,7 +196,15 @@ begin
noise_o => noise_s
);
-
- aout_o <= tone1_s + tone2_s + tone3_s + noise_s;
+
+ -- Register output
+ process(clock_i)
+ begin
+ if res_n_i = '0' then
+ aout_o <= (others => '0');
+ elsif rising_edge(clock_i) then
+ aout_o <= tone1_s + tone2_s + tone3_s + noise_s;
+ end if;
+ end process;
end struct;