aboutsummaryrefslogtreecommitdiffstats
path: root/bbc_micro_de1.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'bbc_micro_de1.qsf')
-rw-r--r--bbc_micro_de1.qsf1
1 files changed, 1 insertions, 0 deletions
diff --git a/bbc_micro_de1.qsf b/bbc_micro_de1.qsf
index d6578f0..4003236 100644
--- a/bbc_micro_de1.qsf
+++ b/bbc_micro_de1.qsf
@@ -512,4 +512,5 @@ set_global_assignment -name QIP_FILE pll32.qip
set_global_assignment -name VHDL_FILE bbc_micro_de1.vhd
set_global_assignment -name VHDL_FILE bbc_micro_de1_tb.vhd
set_global_assignment -name QIP_FILE ehbasic.qip
+set_global_assignment -name VHDL_FILE m6522_tb.vhd
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file