aboutsummaryrefslogtreecommitdiffstats
path: root/vidproc.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-08-02 22:32:14 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-08-02 22:32:14 +0100
commite28e9f3ac11db036e1b3c71493ecbd14a8d59eac (patch)
tree78d3eb0c743da146bdd5a552e332a627d57f3fb8 /vidproc.vhd
parentb8eed4413b7d093d8df73dc2c5ffc83462a4a2ed (diff)
downloadfpga-bbc-e28e9f3ac11db036e1b3c71493ecbd14a8d59eac.tar.gz
fpga-bbc-e28e9f3ac11db036e1b3c71493ecbd14a8d59eac.tar.bz2
fpga-bbc-e28e9f3ac11db036e1b3c71493ecbd14a8d59eac.zip
Fixed missing gate in DISEN which meant that blank lines in modes 3 and 6 weren't blank. Hopefully fixed handling of interlaced sync+video mode in CRTC ready for SAA5050 implementation.
Diffstat (limited to 'vidproc.vhd')
0 files changed, 0 insertions, 0 deletions