aboutsummaryrefslogtreecommitdiffstats
path: root/seg7.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-08-07 22:17:41 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-08-07 22:17:41 +0100
commit7ed3fd28942ce4eb7027a1d45cb5f84bcac31957 (patch)
treee173688d60148723cba53b3fc8b7c9ca20952070 /seg7.vhd
parente35a13f72d07f28528a333047756e93abb46ec29 (diff)
downloadfpga-bbc-7ed3fd28942ce4eb7027a1d45cb5f84bcac31957.tar.gz
fpga-bbc-7ed3fd28942ce4eb7027a1d45cb5f84bcac31957.tar.bz2
fpga-bbc-7ed3fd28942ce4eb7027a1d45cb5f84bcac31957.zip
Switched to external MOS ROM (in flash) and removed MOS and EHBASIC ROMs from project
Diffstat (limited to 'seg7.vhd')
0 files changed, 0 insertions, 0 deletions