aboutsummaryrefslogtreecommitdiffstats
path: root/pll32.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-16 19:05:29 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-16 19:05:29 +0100
commit3eee5c973d8373cf0735a6af1d818440b56dd4e2 (patch)
treecda643ffb5d2ab83309795b4aa2073fbaefc0cc2 /pll32.vhd
parentd69daefa9348fcf8fae41c99bfedcb9ce5d38ce7 (diff)
downloadfpga-bbc-3eee5c973d8373cf0735a6af1d818440b56dd4e2.tar.gz
fpga-bbc-3eee5c973d8373cf0735a6af1d818440b56dd4e2.tar.bz2
fpga-bbc-3eee5c973d8373cf0735a6af1d818440b56dd4e2.zip
Fixed SRAM routing logic
Diffstat (limited to 'pll32.vhd')
-rw-r--r--pll32.vhd16
1 files changed, 8 insertions, 8 deletions
diff --git a/pll32.vhd b/pll32.vhd
index 3c9f397..43df1aa 100644
--- a/pll32.vhd
+++ b/pll32.vhd
@@ -138,13 +138,13 @@ BEGIN
altpll_component : altpll
GENERIC MAP (
- clk0_divide_by => 25,
+ clk0_divide_by => 3,
clk0_duty_cycle => 50,
- clk0_multiply_by => 16,
+ clk0_multiply_by => 4,
clk0_phase_shift => "0",
compensate_clock => "CLK0",
gate_lock_signal => "NO",
- inclk0_input_frequency => 20000,
+ inclk0_input_frequency => 41666,
intended_device_family => "Cyclone II",
invalid_lock_multiplier => 5,
lpm_hint => "CBX_MODULE_PREFIX=pll32",
@@ -234,7 +234,7 @@ END SYN;
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
--- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
+-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "24.000"
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
@@ -244,7 +244,7 @@ END SYN;
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
--- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000"
+-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "312.000"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
@@ -290,13 +290,13 @@ END SYN;
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
--- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "25"
+-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "3"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
--- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "16"
+-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "4"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO"
--- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
+-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "41666"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"