aboutsummaryrefslogtreecommitdiffstats
path: root/m6522_tb.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-08-21 20:13:30 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-08-21 20:13:30 +0100
commitb00930e680e420ee856d1497f4319ffaf96f5eb2 (patch)
treebd04296d2ea0712dafde0b8016f3325c11717111 /m6522_tb.vhd
parent7ed3fd28942ce4eb7027a1d45cb5f84bcac31957 (diff)
downloadfpga-bbc-b00930e680e420ee856d1497f4319ffaf96f5eb2.tar.gz
fpga-bbc-b00930e680e420ee856d1497f4319ffaf96f5eb2.tar.bz2
fpga-bbc-b00930e680e420ee856d1497f4319ffaf96f5eb2.zip
Added license notice to the top of all source files
Diffstat (limited to 'm6522_tb.vhd')
-rw-r--r--m6522_tb.vhd33
1 files changed, 33 insertions, 0 deletions
diff --git a/m6522_tb.vhd b/m6522_tb.vhd
index 023eb2e..740d421 100644
--- a/m6522_tb.vhd
+++ b/m6522_tb.vhd
@@ -1,3 +1,36 @@
+-- BBC Micro for Altera DE1
+--
+-- Copyright (c) 2011 Mike Stirling
+--
+-- All rights reserved
+--
+-- Redistribution and use in source and synthezised forms, with or without
+-- modification, are permitted provided that the following conditions are met:
+--
+-- * Redistributions of source code must retain the above copyright notice,
+-- this list of conditions and the following disclaimer.
+--
+-- * Redistributions in synthesized form must reproduce the above copyright
+-- notice, this list of conditions and the following disclaimer in the
+-- documentation and/or other materials provided with the distribution.
+--
+-- * Neither the name of the author nor the names of other contributors may
+-- be used to endorse or promote products derived from this software without
+-- specific prior written permission.
+--
+-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
+-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
+-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
+-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
+-- POSSIBILITY OF SUCH DAMAGE.
+--
+
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;