aboutsummaryrefslogtreecommitdiffstats
path: root/m6522_tb.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-17 21:43:30 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-17 21:43:30 +0100
commit9a5d41651b1e31f4cab5ee820f95a00c0a4baa67 (patch)
tree033610e3a50b757c0def422297bb55a53598d27a /m6522_tb.vhd
parent78c1dae8212afdd81df8aebbece895f9bf2796b4 (diff)
downloadfpga-bbc-9a5d41651b1e31f4cab5ee820f95a00c0a4baa67.tar.gz
fpga-bbc-9a5d41651b1e31f4cab5ee820f95a00c0a4baa67.tar.bz2
fpga-bbc-9a5d41651b1e31f4cab5ee820f95a00c0a4baa67.zip
Register 6522 reads so that data is available outside of "phase 2" cycles, otherwise CPU can't see it
Diffstat (limited to 'm6522_tb.vhd')
0 files changed, 0 insertions, 0 deletions