aboutsummaryrefslogtreecommitdiffstats
path: root/bbc_micro_de1.qsf
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-16 19:03:20 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-16 19:03:20 +0100
commitd69daefa9348fcf8fae41c99bfedcb9ce5d38ce7 (patch)
tree7cb2803defad3066ae308c357d1e2dba1db6e577 /bbc_micro_de1.qsf
parent3975fdfe4275347dab666e43dbfdaebe80c58ff8 (diff)
downloadfpga-bbc-d69daefa9348fcf8fae41c99bfedcb9ce5d38ce7.tar.gz
fpga-bbc-d69daefa9348fcf8fae41c99bfedcb9ce5d38ce7.tar.bz2
fpga-bbc-d69daefa9348fcf8fae41c99bfedcb9ce5d38ce7.zip
Added top-level, PLL, MOS ROM and CRTC. CRTC seems to behave strangely although the design is passing timing.
Diffstat (limited to 'bbc_micro_de1.qsf')
0 files changed, 0 insertions, 0 deletions