aboutsummaryrefslogtreecommitdiffstats
path: root/bbc_micro_de1.qsf
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-17 21:32:44 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-17 21:32:44 +0100
commit78c1dae8212afdd81df8aebbece895f9bf2796b4 (patch)
treecd1026289ddc9c32b8c127e8dcfb4bb7dba13127 /bbc_micro_de1.qsf
parent3eee5c973d8373cf0735a6af1d818440b56dd4e2 (diff)
downloadfpga-bbc-78c1dae8212afdd81df8aebbece895f9bf2796b4.tar.gz
fpga-bbc-78c1dae8212afdd81df8aebbece895f9bf2796b4.tar.bz2
fpga-bbc-78c1dae8212afdd81df8aebbece895f9bf2796b4.zip
Work in progress: Added MOS6522 from www.fpgaarcade.com. Added simple_uart component in "FRED" for test purposes and added alternative EHBASIC ROM for debugging
Diffstat (limited to 'bbc_micro_de1.qsf')
-rw-r--r--bbc_micro_de1.qsf11
1 files changed, 7 insertions, 4 deletions
diff --git a/bbc_micro_de1.qsf b/bbc_micro_de1.qsf
index 72b1ee2..d6578f0 100644
--- a/bbc_micro_de1.qsf
+++ b/bbc_micro_de1.qsf
@@ -494,6 +494,12 @@ set_location_assignment PIN_T8 -to SRAM_OE_N
set_location_assignment PIN_W7 -to SRAM_UB_N
set_location_assignment PIN_AA10 -to SRAM_WE_N
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
+set_global_assignment -name VHDL_FILE simple_uart.vhd
+set_global_assignment -name VHDL_FILE m6522.vhd
set_global_assignment -name VHDL_FILE seg7.vhd
set_global_assignment -name VHDL_FILE vidproc.vhd
set_global_assignment -name VHDL_FILE mc6845.vhd
@@ -504,9 +510,6 @@ set_global_assignment -name VHDL_FILE T65/T65_MCode.vhd
set_global_assignment -name QIP_FILE os12.qip
set_global_assignment -name QIP_FILE pll32.qip
set_global_assignment -name VHDL_FILE bbc_micro_de1.vhd
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
-set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
-set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_global_assignment -name VHDL_FILE bbc_micro_de1_tb.vhd
+set_global_assignment -name QIP_FILE ehbasic.qip
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file