summaryrefslogtreecommitdiffstats
path: root/de1/fpga-bbc-pq/master/fix-warnings.patch
blob: cb144a6df46e94277403869a81e06e5f0a2454ff (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
diff --git a/bbc_micro_de1.vhd b/bbc_micro_de1.vhd
index 0985580..9234f82 100644
--- a/bbc_micro_de1.vhd
+++ b/bbc_micro_de1.vhd
@@ -159,11 +159,12 @@ port (
 	SD_MISO		:	in		std_logic;
 	
 	-- GPIO
-	GPIO_0		:	inout	std_logic_vector(35 downto 0);
-	GPIO_1		:	inout	std_logic_vector(35 downto 0)
+	GPIO_0		:	out	std_logic_vector(35 downto 0);
+	GPIO_1		:	out	std_logic_vector(35 downto 0)
 	);
 end entity;
 
+-- altera message_off 10036
 architecture rtl of bbc_micro_de1 is
 
 ------------------------------
diff --git a/mc6845.vhd b/mc6845.vhd
index c28152d..59407d1 100644
--- a/mc6845.vhd
+++ b/mc6845.vhd
@@ -70,6 +70,7 @@ port (
 	);
 end entity;
 
+-- altera message_off 10036
 architecture rtl of mc6845 is
 
 -- Host-accessible registers
diff --git a/saa5050.vhd b/saa5050.vhd
index d082339..ad09624 100644
--- a/saa5050.vhd
+++ b/saa5050.vhd
@@ -85,6 +85,7 @@ port (
 	);
 end entity;
 
+-- altera message_off 10036
 architecture rtl of saa5050 is
 
 component saa5050_rom IS