summaryrefslogtreecommitdiffstats
path: root/de1/fpga-flash-nor/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'de1/fpga-flash-nor/Makefile')
-rw-r--r--de1/fpga-flash-nor/Makefile63
1 files changed, 63 insertions, 0 deletions
diff --git a/de1/fpga-flash-nor/Makefile b/de1/fpga-flash-nor/Makefile
new file mode 100644
index 0000000..e542f8f
--- /dev/null
+++ b/de1/fpga-flash-nor/Makefile
@@ -0,0 +1,63 @@
+PROJ=de1flash
+
+SRCS=$(wildcard *.vhd *.v *.qsf *.qpf )
+SOF=${PROJ}.sof
+
+ROMSRC=../../roms
+
+default: do_flash
+
+
+do_flash: rom_image.stamp
+
+rom_image.stamp:rom_image.rom load_sof.stamp
+ ./quartus_wrap quartus_stp -t de1flash.tcl write rom_image.rom@0x20000
+ touch $@
+
+
+os.rom:${ROMSRC}/os12.rom
+ cp $< $@
+rom0.rom:${ROMSRC}/empty.rom
+ cp $< $@
+rom1.rom:${ROMSRC}/empty.rom
+ cp $< $@
+rom2.rom:${ROMSRC}/supermmc.rom
+ cp $< $@
+rom3.rom:${ROMSRC}/basic2.rom
+ cp $< $@
+
+rom_image.rom:os.rom rom0.rom rom1.rom rom2.rom rom3.rom
+ cat rom0.rom rom1.rom rom2.rom rom3.rom ${ROMSRC}/empty.rom ${ROMSRC}/empty.rom ${ROMSRC}/empty.rom os.rom > $@ || /bin/rm -f $@
+
+sta.stamp:asm.stamp
+ ./quartus_wrap quartus_sta ${PROJ} -c ${PROJ}
+ touch $@
+
+asm.stamp:fit.stamp
+ ./quartus_wrap quartus_asm --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
+ touch $@
+
+${SOF}:asm.stamp
+
+fit.stamp: ans.stamp
+ ./quartus_wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
+ touch $@
+
+ans.stamp: source.stamp
+ ./quartus_wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
+ touch $@
+
+source.stamp:${SRCS}
+ touch source.stamp
+
+load_sof.stamp: ${SOF}
+ ./quartus_wrap quartus_pgm -m JTAG -o "p;${SOF}" -c 1
+ #touch $@
+
+clean:
+ /bin/rm -rf db incremental_db
+ /bin/rm -f *.stamp ${SOF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.done *.rom
+
+
+
+