summaryrefslogtreecommitdiffstats
path: root/de1/fpga-bbc-pq/master/keyboard-fixes.patch
diff options
context:
space:
mode:
Diffstat (limited to 'de1/fpga-bbc-pq/master/keyboard-fixes.patch')
-rw-r--r--de1/fpga-bbc-pq/master/keyboard-fixes.patch53
1 files changed, 53 insertions, 0 deletions
diff --git a/de1/fpga-bbc-pq/master/keyboard-fixes.patch b/de1/fpga-bbc-pq/master/keyboard-fixes.patch
new file mode 100644
index 0000000..c12e167
--- /dev/null
+++ b/de1/fpga-bbc-pq/master/keyboard-fixes.patch
@@ -0,0 +1,53 @@
+diff --git a/bbc_micro_de1.vhd b/bbc_micro_de1.vhd
+index 7de7276..08145ca 100644
+--- a/bbc_micro_de1.vhd
++++ b/bbc_micro_de1.vhd
+@@ -922,6 +922,9 @@ begin
+ clock
+ );
+
++ --LEDG(0) <= not PS2_CLK;
++ --LEDG(1) <= not PS2_DAT;
++
+ -- Keyboard
+ keyb : keyboard port map (
+ clock, hard_reset_n, mhz1_clken,
+diff --git a/keyboard.vhd b/keyboard.vhd
+index d3dd8f4..c1893c6 100644
+--- a/keyboard.vhd
++++ b/keyboard.vhd
+@@ -73,6 +73,7 @@ port (
+ );
+ end entity;
+
++-- altera message_off 10036
+ architecture rtl of keyboard is
+
+ -- PS/2 interface
+@@ -208,6 +209,13 @@ begin
+ KEYPRESS <= '0';
+ end if;
+ end process;
++
++ keys(10) <= (others => '0');
++ keys(11) <= (others => '0');
++ keys(12) <= (others => '0');
++ keys(13) <= (others => '0');
++ keys(14) <= (others => '0');
++ keys(15) <= (others => '0');
+
+ -- Decode PS/2 data
+ process(CLOCK,nRESET)
+@@ -229,12 +237,6 @@ begin
+ keys(8) <= (others => '0');
+ keys(9) <= (others => '0');
+ -- These non-existent rows are used in the BBC master
+- keys(10) <= (others => '0');
+- keys(11) <= (others => '0');
+- keys(12) <= (others => '0');
+- keys(13) <= (others => '0');
+- keys(14) <= (others => '0');
+- keys(15) <= (others => '0');
+ elsif rising_edge(CLOCK) then
+ -- Copy DIP switches through to row 0
+ keys(2)(0) <= DIP_SWITCH(7);